messy commit

Signed-off-by: Sean Cross <sean@xobs.io>
This commit is contained in:
Sean Cross 2019-02-21 21:20:55 +08:00
commit 418a26a060
22 changed files with 46258 additions and 0 deletions

28
memtest/-p Normal file
View File

@ -0,0 +1,28 @@
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2018 Clifford Wolf <clifford@clifford.at> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.8+ (git sha1 UNKNOWN, x86_64-w64-mingw32-g++ 7.3-posix -O3 -DNDEBUG)
-- Parsing `synth_ice40 -top memtest -json .build/memtest.json' using frontend `json' --
1. Executing JSON frontend.
ERROR: Can't open input file `synth_ice40 -top memtest -json .build/memtest.json' for reading: No such file or directory

16608
memtest/.build/memtest.asc Normal file

File diff suppressed because it is too large Load Diff

7514
memtest/.build/memtest.json Normal file

File diff suppressed because it is too large Load Diff

71
memtest/Makefile Normal file
View File

@ -0,0 +1,71 @@
PACKAGE ?= $(notdir $(realpath .))
TOP ?= $(PACKAGE)
GIT_VERSION := $(shell git describe --tags)
# Default programs
NEXTPNR ?= nextpnr-ice40
YOSYS ?= yosys -q -l top.rpt
ICEPACK ?= icepack
PCF_PATH ?= .
# Add Windows and Unix support
RM = rm -rf
COPY = cp -a
PATH_SEP = /
ifeq ($(OS),Windows_NT)
COPY = copy
RM = del
PATH_SEP = \\
endif
FOMU_REV ?= evt3
ifeq ($(FOMU_REV),evt3)
PCF ?= $(PCF_PATH)/fomu-evt3.pcf
PKG ?= sg48
PNRFLAGS ?= --up5k --package $(PKG)
else
ifeq ($(FOMU_REV),evt2)
PCF ?= $(PCF_PATH)/fomu-evt2.pcf
PKG ?= sg48
PNRFLAGS ?= --up5k --package $(PKG)
else
$(error Unrecognized FOMU_REV value. must be "evt2" or "evt3")
endif
endif
BUILD_DIR = .build
VSOURCES = $(wildcard *.v)
QUIET = @
ALL = all
TARGET = $(PACKAGE).bin
CLEAN = clean
$(ALL): $(TARGET)
$(BUILD_DIR)/$(PACKAGE).json: $(VSOURCES) | $(BUILD_DIR)
$(QUIET) echo " SYNTH $@"
$(QUIET) $(YOSYS) -p 'synth_ice40 -top $(TOP) -json $@' $(PACKAGE).v
$(BUILD_DIR)/$(PACKAGE).asc: $(BUILD_DIR)/$(PACKAGE).json $(PCF)
$(QUIET) echo " PNR $@"
$(QUIET) $(NEXTPNR) $(PNRFLAGS) --json $(BUILD_DIR)/$(PACKAGE).json --pcf $(PCF) --asc $@
$(TARGET): $(BUILD_DIR)/$(PACKAGE).asc
$(QUIET) echo " PACK $@"
$(QUIET) $(ICEPACK) $(BUILD_DIR)/$(PACKAGE).asc $@
$(BUILD_DIR):
$(QUIET) mkdir $(BUILD_DIR)
.PHONY: clean
clean:
$(QUIET) echo " RM $(subst /,$(PATH_SEP),$(wildcard $(BUILD_DIR)/*.json))"
-$(QUIET) $(RM) $(subst /,$(PATH_SEP),$(wildcard $(BUILD_DIR)/*.json))
$(QUIET) echo " RM $(subst /,$(PATH_SEP),$(wildcard $(BUILD_DIR)/*.asc))"
-$(QUIET) $(RM) $(subst /,$(PATH_SEP),$(wildcard $(BUILD_DIR)/*.asc))
$(QUIET) echo " RM $(TARGET) $(PACKAGE).bin"
-$(QUIET) $(RM) $(TARGET) $(PACKAGE).bin

0
memtest/abc.history Normal file
View File

2048
memtest/empty.init Normal file

File diff suppressed because it is too large Load Diff

41
memtest/fomu-evt3.pcf Normal file
View File

@ -0,0 +1,41 @@
set_io led_r 39
set_io led_b 40
set_io led_g 41
set_io pmod_1 28
set_io pmod_2 27
set_io pmod_3 26
set_io pmod_4 23
set_io pmoda_1 28
set_io pmoda_2 27
set_io pmoda_3 26
set_io pmoda_4 23
set_io clki_alt 20
set_io clki 44
set_io user_1 48
set_io user_2 47
set_io user_3 46
set_io user_4 45
set_io user_5 42
set_io user_6 38
set_io pmodb_1 48
set_io pmodb_2 47
set_io pmodb_3 46
set_io pmodb_4 45
set_io spi_mosi 14
set_io spi_miso 17
set_io spi_clk 15
set_io spi_io2 18
set_io spi_io3 19
set_io spi_cs 16
set_io uart_tx 21
set_io uart_rx 13
set_io usb_dn 37
set_io usb_dp 34
set_io usb_dp_pu 35
set_io usb_dn_pu 36
set_io dbg_1 20
set_io dbg_2 12
set_io dbg_3 11
set_io dbg_4 25
set_io dbg_5 10
set_io dbg_6 9

2048
memtest/mem.init Normal file

File diff suppressed because it is too large Load Diff

BIN
memtest/memtest.bin Normal file

Binary file not shown.

67
memtest/memtest.v Normal file
View File

@ -0,0 +1,67 @@
module memtest (
output led_r,
output led_g,
output led_b,
output pmod_1,
output pmod_2,
output pmod_3,
output pmod_4,
input user_5,
input user_6,
input clki
);
reg [31:0] mem[0:2047];
reg [10:0] memadr;
assign random_rom_dat_r = mem[memadr];
wire clkosc;
SB_GB clk_gb (
.USER_SIGNAL_TO_GLOBAL_BUFFER(clki),
.GLOBAL_BUFFER_OUTPUT(clkosc)
);
assign clk = clkosc;
initial begin
$readmemh("empty.init", mem);
end
always @(posedge clk) begin
memadr <= memadr + 1;
led_r <=
mem[memadr][0] ^
mem[memadr][1] ^
mem[memadr][2] ^
mem[memadr][3] ^
mem[memadr][4] ^
mem[memadr][5] ^
mem[memadr][6] ^
mem[memadr][7] ^
mem[memadr][8] ^
mem[memadr][9] ^
mem[memadr][10] ^
mem[memadr][11] ^
mem[memadr][12] ^
mem[memadr][13] ^
mem[memadr][14] ^
mem[memadr][15] ^
mem[memadr][16] ^
mem[memadr][17] ^
mem[memadr][18] ^
mem[memadr][19] ^
mem[memadr][20] ^
mem[memadr][21] ^
mem[memadr][22] ^
mem[memadr][23] ^
mem[memadr][24] ^
mem[memadr][25] ^
mem[memadr][26] ^
mem[memadr][27] ^
mem[memadr][28] ^
mem[memadr][29] ^
mem[memadr][30] ^
mem[memadr][31];
end
endmodule

1728
memtest/top.rpt Normal file

File diff suppressed because it is too large Load Diff

981
output.txt Normal file
View File

@ -0,0 +1,981 @@
CRAM data (bank 0): 692 x 336 @ 0x00000000; 232512 bits = 29064 bytes
CRAM data (bank 1): 692 x 176 @ 0x00000000; 121792 bits = 15224 bytes
CRAM data (bank 2): 692 x 336 @ 0x00000000; 232512 bits = 29064 bytes
CRAM data (bank 3): 692 x 176 @ 0x00000000; 121792 bits = 15224 bytes
BRAM data (bank 0): 160 x 128 @ 0x00000000; 20480 bits = 2560 bytes
try 1:
00000000 73 0b 00 00 c3 00 44 1a 62 87 1b 7c ad 9c d0 f0 |s.....D.b..|....|
00000010 5f 1c 07 a6 59 87 00 00 f0 00 e6 45 42 c1 23 9b |_...Y......EB.#.|
00000020 95 bf 30 ef c8 16 40 81 e7 53 00 00 6c 00 d1 0e |..0...@..S..l...|
00000030 6d d7 e4 53 63 0f b6 90 5e 0e de 56 90 74 00 00 |m..Sc...^..V.t..|
00000040 a2 00 d2 aa 5b 96 e1 15 90 be e8 09 c0 c5 96 29 |....[..........)|
00000050 04 34 00 00 a1 00 57 f6 43 c2 f7 58 00 0a 91 e7 |.4....W.C..X....|
00000060 c9 6c ab 4b 70 b4 00 00 94 00 a7 0c b8 74 a8 23 |.l.Kp........t.#|
00000070 7d 37 6a 0b bd c8 3e ad 45 6c 00 00 7f 00 77 8c |}7j...>.El....w.|
00000080 57 fc d5 8e 16 f5 2b 18 23 13 07 31 27 8d 00 00 |W.....+.#..1'...|
00000090 30 00 42 65 0d 83 d3 2d 53 d4 77 4e 94 90 6f 92 |0.Be...-S.wN..o.|
000000a0 00 92 00 00 ba 00 43 1b 65 67 fb a8 56 2a da 27 |......C.eg..V*.'|
000000b0 35 51 fa 76 d2 b3 00 00 2a 00 5a a5 01 4d 17 d0 |5Q.v....*.Z..M..|
000000c0 fe 65 7e 4c 42 0d 36 c7 45 09 00 00 3d 00 80 a9 |.e~LB.6.E...=...|
000000d0 3a 43 31 fe f1 44 e9 5a 70 5f e7 ba 8e bc 00 00 |:C1..D.Zp_......|
000000e0 a9 00 28 68 17 53 8f 00 fd 2a e4 ba 02 06 2f b9 |..(h.S...*..../.|
000000f0 e6 f3 00 00 c0 00 a5 63 e1 2a 0c e1 7a ed 63 3f |.......c.*..z.c?|
00000100 19 d7 be 14 06 8e 00 00 8a 00 87 68 4b 99 36 aa |...........hK.6.|
00000110 08 be a8 15 ae bc c3 a4 0c a1 00 00 8e 00 74 e9 |..............t.|
00000120 2d 5e 05 40 93 75 5a 78 22 b5 14 62 ce 85 00 00 |-^.@.uZx"..b....|
00000130 41 00 2a 3a cd fd 92 e9 aa db 86 bf 24 9a d5 d7 |A.*:........$...|
00000140 5a 99 00 00 64 00 e4 c9 fd 4d fe cd 1d 0f 3a 19 |Z...d....M....:.|
00000150 ff 69 15 01 54 b7 00 00 4f 00 1e 4d 55 16 10 d3 |.i..T...O..MU...|
00000160 8b 76 57 74 d6 ac 0f 49 4e 96 00 00 99 00 93 0e |.vWt...IN.......|
00000170 2e 81 35 09 dc a6 55 ee 13 12 95 c1 e2 e8 00 00 |..5...U.........|
00000180 39 00 3e e1 22 0c 0b 06 53 ac 75 bd 33 f6 b6 b3 |9.>."...S.u.3...|
00000190 8c 43 00 00 9a 00 b8 87 d6 a9 18 1c 82 4c ab 5e |.C...........L.^|
000001a0 46 91 58 ae f8 6b 00 00 f6 00 33 f9 20 52 fd 70 |F.X..k....3. R.p|
000001b0 ab 89 d8 d8 48 f5 a5 59 2f f5 00 00 91 00 5a 4f |....H..Y/.....ZO|
000001c0 f0 ee 9e 05 9c 69 d0 ff 4d be a5 8b 55 70 00 00 |.....i..M...Up..|
000001d0 0c 00 11 4e 40 94 64 b5 f9 85 20 1a e5 c7 1f 9d |...N@.d... .....|
000001e0 14 18 00 00 51 00 da 36 13 1c 90 75 51 7e 7f 92 |....Q..6...uQ~..|
000001f0 94 fe 73 26 d7 68 00 00 8a 00 9b aa 18 51 cd 96 |..s&.h.......Q..|
00000200 47 e4 cf 49 dc 0c 9e 21 15 dd 00 00 c6 00 07 7a |G..I...!.......z|
00000210 5f a1 dc 8b 51 c2 71 e9 82 d3 93 0a b8 59 00 00 |_...Q.q......Y..|
00000220 07 00 19 fc 4b 2c e6 ac 90 4d 50 95 3f 36 ac df |....K,...MP.?6..|
00000230 af df 00 00 95 00 0b e9 cc 00 de 76 83 88 17 cd |...........v....|
00000240 f9 24 fe 78 60 e4 00 00 7a 00 fa d6 32 7c 14 80 |.$.x`...z...2|..|
00000250 82 d6 df a0 f7 7b d6 b9 5b 88 00 00 0c 00 61 a8 |.....{..[.....a.|
00000260 67 50 12 70 be 4d 3b 51 1a b9 5c 7b 86 c7 00 00 |gP.p.M;Q..\{....|
00000270 74 00 d8 c5 00 6f 3e 5c 83 b2 d5 3f a2 2c 2c 86 |t....o>\...?.,,.|
00000280 0a 1e 00 00 4c 00 15 75 0f 70 ff 03 66 c5 fc 08 |....L..u.p..f...|
00000290 92 94 9f 08 48 ad 00 00 db 00 cb 2e 7a d3 a4 fb |....H.......z...|
000002a0 ee d6 67 6b e5 6c 4a 79 8c 4d 00 00 3c 00 f5 b3 |..gk.lJy.M..<...|
000002b0 19 6e 78 72 ed a0 d3 fc 55 38 ce e7 69 70 00 00 |.nxr....U8..ip..|
000002c0 b0 00 91 70 86 83 43 bc 62 90 a4 48 23 b1 df 80 |...p..C.b..H#...|
000002d0 cc 13 00 00 03 00 bc 75 b1 01 ca 1b bd c7 cb f3 |.......u........|
000002e0 ae e6 11 db 09 81 00 00 1a 00 05 3d f3 f7 ff 07 |...........=....|
000002f0 ca 7c a5 1a 97 20 ef 24 81 bf 00 00 b7 00 85 bf |.|... .$........|
00000300 49 83 3c 80 95 b9 5c 3f e5 13 ff d9 86 6e 00 00 |I.<...\?.....n..|
00000310 12 00 09 6f 73 03 96 2e ef 14 f3 4f 74 bd df 72 |...os......Ot..r|
00000320 b9 06 00 00 39 00 76 94 a7 fb 2a 3a ba 2c a8 5e |....9.v...*:.,.^|
00000330 67 0f 75 de 35 e3 00 00 1d 00 95 57 ca 18 c9 92 |g.u.5......W....|
00000340 36 b8 32 7d b0 08 dd 09 a1 75 00 00 08 00 cc db |6.2}.....u......|
00000350 ca 93 da 7d df 7a da bf b6 b4 96 78 29 99 00 00 |...}.z.....x)...|
00000360 d1 00 ae a2 62 1b 8e 0d f4 d3 91 12 9f 45 bb 8e |....b........E..|
00000370 7f 4a 00 00 0c 00 ae e8 1b 72 ac 15 ed 70 d6 a4 |.J.......r...p..|
00000380 c0 ed af 9b 12 ec 00 00 a2 00 69 d1 17 6e 47 df |..........i..nG.|
00000390 57 36 f2 81 52 b8 a1 bc 95 73 00 00 24 00 aa e5 |W6..R....s..$...|
000003a0 5d a6 a9 5a 1b f5 35 79 d1 bf 24 ed db 19 00 00 |]..Z..5y..$.....|
000003b0 c9 00 96 9d 04 47 5e c5 b5 0b ab 04 b4 c5 09 4c |.....G^........L|
000003c0 c5 19 00 00 65 00 96 3c fb 0a 55 0d 04 d7 fa b4 |....e..<..U.....|
000003d0 e3 03 e1 54 73 5c 00 00 e3 00 15 b6 db 7d dd 08 |...Ts\.......}..|
000003e0 b2 24 a9 f0 c6 e7 8e 0f 28 8d 00 00 33 00 7d f7 |.$......(...3.}.|
000003f0 57 d7 f0 52 8f af f7 f5 c9 15 74 dc 89 f6 00 00 |W..R......t.....|
00000400 81 00 a5 49 a6 14 05 b1 99 ad f3 44 b1 93 ef 16 |...I.......D....|
00000410 cf 5f 00 00 da 00 7f 63 d2 b1 c5 aa 4b 97 59 fb |._.....c....K.Y.|
00000420 19 95 ce d0 1f 8b 00 00 53 00 14 9d 30 9f 08 20 |........S...0.. |
00000430 78 e2 80 34 da 25 88 e4 83 f4 00 00 e2 00 74 aa |x..4.%........t.|
00000440 ac 42 e8 c9 4e cf b4 90 71 0a a0 0d 63 80 00 00 |.B..N...q...c...|
00000450 5d 00 6a c4 22 ae a9 1c f5 04 5d 06 e7 c9 36 a2 |].j.".....]...6.|
00000460 0d c4 00 00 cc 00 27 8e 7b 67 e4 0d c6 fe cb 70 |......'.{g.....p|
00000470 b7 f9 fa c0 87 b6 00 00 ce 00 61 5a ef 2f 75 45 |..........aZ./uE|
00000480 43 6d 8e 61 1a 10 fc e4 7d 15 00 00 4e 00 e5 23 |Cm.a....}...N..#|
00000490 32 fb d7 ea 05 c0 83 cd 3b 44 df 3e 90 71 00 00 |2.......;D.>.q..|
000004a0 f8 00 64 71 00 85 c4 8d 62 94 66 b0 d1 89 51 46 |..dq....b.f...QF|
000004b0 81 97 00 00 91 00 08 fd 2b 8d 75 98 27 93 87 1b |........+.u.'...|
000004c0 78 b1 e2 a7 df a1 00 00 a7 00 7e d4 2e 49 6d 91 |x.........~..Im.|
000004d0 c9 a0 fb 52 ea fe b7 26 1b 19 00 00 78 00 38 95 |...R...&....x.8.|
000004e0 6d eb ef d9 8c fe e6 79 55 2e c5 46 9b da 00 00 |m......yU..F....|
000004f0 27 00 b3 bb 0e 24 6e 2a c4 ca 70 7e af 2c 9a 92 |'....$n*..p~.,..|
00000500 60 1d 00 00 d4 00 66 ba de 9f 71 b6 e0 97 9f 27 |`.....f...q....'|
00000510 7c 9f ba 49 61 d4 00 00 ea 00 ac e8 ad 4f e1 79 ||..Ia........O.y|
00000520 d2 7b df a9 10 da 2e 0c eb 14 00 00 4d 00 c0 f7 |.{..........M...|
00000530 a3 03 1b f4 7b 2d b3 a4 6d cf ae 2d 2c 4a 00 00 |....{-..m..-,J..|
00000540 34 00 4c 9e 30 30 ac 55 82 de d1 8a 74 75 0b 00 |4.L.00.U....tu..|
00000550 0f 8b 00 00 a9 00 36 e5 f4 63 53 ac e6 7d 2f d3 |......6..cS..}/.|
00000560 df 77 b2 a6 92 b3 00 00 89 00 3e 4d 7f 82 3c bf |.w........>M..<.|
00000570 21 23 78 cb 2f 7c 56 6f 06 f9 00 00 c0 00 64 fb |!#x./|Vo......d.|
00000580 d8 95 bd 38 ed 25 82 9d 6f ca ce e5 56 01 00 00 |...8.%..o...V...|
00000590 67 00 dc 0a cc f8 68 6b 0f f9 86 6f e5 07 79 4c |g.....hk...o..yL|
000005a0 85 cc 00 00 3a 00 7e e2 43 b1 0a 2a 57 e8 77 aa |....:.~.C..*W.w.|
000005b0 f0 ef 9b 17 c2 6a 00 00 a5 00 61 68 9d 95 ec 95 |.....j....ah....|
000005c0 06 b8 dc 41 b9 58 b5 04 8c b9 00 00 a4 00 72 54 |...A.X........rT|
000005d0 af b1 2f 59 3f 7c fb 1d ed 56 a0 c7 a5 b9 00 00 |../Y?|...V......|
000005e0 f3 00 12 f3 90 c8 2f a8 74 f5 ad 16 e1 f3 7e a8 |....../.t.....~.|
000005f0 94 10 00 00 eb 00 5d a3 b0 0f 6d 38 da a4 70 ba |......]...m8..p.|
00000600 15 5b 88 4f 42 fd 00 00 5a 00 00 e5 cc fd 84 25 |.[.OB...Z......%|
00000610 e9 e2 54 34 96 b7 e3 ab 6c a9 00 00 e7 00 46 3e |..T4....l.....F>|
00000620 37 8e cd eb bf bb 86 e3 09 fb eb 01 f0 f7 00 00 |7...............|
00000630 a2 00 02 79 b0 f7 ea 70 bb dc e9 59 f6 09 af d6 |...y...p...Y....|
00000640 1d cd 00 00 97 00 1a 40 95 a4 d6 a1 9a 5f 45 88 |.......@....._E.|
00000650 1f a0 72 cf 09 7e 00 00 df 00 0e a8 d5 4d 4b 6f |..r..~.......MKo|
00000660 cd 18 4b 4a 20 c6 87 26 a5 04 00 00 47 00 57 12 |..KJ ..&....G.W.|
00000670 ac e2 6e 6c d2 e5 96 c8 6e ee 01 5d 62 48 00 00 |..nl....n..]bH..|
00000680 6d 00 37 e4 b0 e8 94 12 f8 3d 3f 01 ea df ce 56 |m.7......=?....V|
00000690 92 94 00 00 8f 00 0d 2e f4 25 66 16 56 c0 64 76 |.........%f.V.dv|
000006a0 11 49 96 66 e3 68 00 00 70 00 28 ab 65 47 c2 b7 |.I.f.h..p.(.eG..|
000006b0 ad 3d ca fe 86 2b 82 2e af 76 00 00 00 00 80 4a |.=...+...v.....J|
000006c0 22 9b cb 5f 3d 6b cd 31 4a f7 5e ad 26 d5 00 00 |".._=k.1J.^.&...|
000006d0 9e 00 28 e4 7c b6 19 18 2b 26 96 16 70 6f 9a a9 |..(.|...+&..po..|
000006e0 53 c9 00 00 8f 00 99 98 5e dc 0c 4a 36 f2 ff 45 |S.......^..J6..E|
000006f0 1d 18 22 c4 f7 af 00 00 a3 00 96 5f 3c 79 e4 d4 |.."........_<y..|
00000700 4a da dc bf 49 d3 a5 b1 da 3e 00 00 8e 00 51 6e |J...I....>....Qn|
00000710 a1 06 aa b6 ab 3c 51 22 05 8e e5 f5 4e 39 00 00 |.....<Q"....N9..|
00000720 4e 00 7a 99 06 ee e4 79 b3 5e 43 02 a1 99 77 8c |N.z....y.^C...w.|
00000730 cd c9 00 00 5e 00 bf 06 2e 71 67 ee 22 f3 e2 6e |....^....qg."..n|
00000740 2c 1c 05 88 36 49 00 00 e8 00 53 b6 00 d1 95 7a |,...6I....S....z|
00000750 7e 31 16 7f f8 f1 74 9c 49 06 00 00 5f 00 79 6b |~1....t.I..._.yk|
00000760 c4 74 c0 98 a3 c7 72 c7 24 5d 42 a8 57 68 00 00 |.t....r.$]B.Wh..|
00000770 ca 00 81 61 31 82 ba 0f 9f 72 3c 34 dd 21 c6 1b |...a1....r<4.!..|
00000780 34 69 00 00 78 00 10 45 5a bc b2 ee 6d 00 04 46 |4i..x..EZ...m..F|
00000790 8e 56 9f cc a0 11 00 00 ea 00 12 ea ea 53 c8 87 |.V...........S..|
000007a0 3b aa 9b 9d 84 20 49 5b ec 8c 00 00 56 00 9e b8 |;.... I[....V...|
000007b0 6d 23 b2 bd 23 88 be ce aa 05 71 f0 23 c8 00 00 |m#..#.....q.#...|
000007c0 5a 00 c2 3c 28 f3 90 80 59 d8 8d 9e 53 8f 7d 88 |Z..<(...Y...S.}.|
000007d0 89 c1 00 00 21 00 4d 02 a9 7d b0 53 79 fb cf 29 |....!.M..}.Sy..)|
000007e0 6d b3 0c 10 03 48 00 00 49 00 20 2a 29 5a 11 3e |m....H..I. *)Z.>|
000007f0 de 10 52 17 a8 0f 41 45 8b e9 00 00 6c 00 49 1a |..R...AE....l.I.|
00000800 2e 65 21 4c 21 da 14 dd 2b fe b7 7b 04 3b 00 00 |.e!L!...+..{.;..|
00000810 a9 00 45 53 06 12 bb dd d6 c1 1a 91 15 bd 49 3c |..ES..........I<|
00000820 75 5f 00 00 21 00 7b da 47 48 8b 9d 51 60 ae 7a |u_..!.{.GH..Q`.z|
00000830 21 41 32 27 94 39 00 00 5c 00 64 f5 7a cd 9f dc |!A2'.9..\.d.z...|
00000840 37 b3 f6 1f 17 fa 1e e4 32 05 00 00 f7 00 9e 9e |7.......2.......|
00000850 46 cd 60 68 7d 0b 29 e0 94 87 b0 e9 0d 25 00 00 |F.`h}.)......%..|
00000860 2c 00 51 f4 0a 6d 0e 51 d3 7e 96 a6 97 0f 8a 88 |,.Q..m.Q.~......|
00000870 19 ed 00 00 0f 00 79 65 3a 17 22 11 29 a7 b3 ce |......ye:.".)...|
00000880 47 db 9b 54 c2 49 00 00 c3 00 58 20 89 b3 55 13 |G..T.I....X ..U.|
00000890 59 11 b7 90 39 5a 85 35 b5 63 00 00 b9 00 4a f9 |Y...9Z.5.c....J.|
000008a0 3d 1f 17 b8 ae 67 a5 4a ac 8f 20 fd 65 27 00 00 |=....g.J.. .e'..|
000008b0 ca 00 3e 60 e2 9c dc 98 41 f5 8c 8a 07 04 e1 fd |..>`....A.......|
000008c0 4f a3 00 00 e0 00 dd c6 65 14 4f e3 74 a8 c8 8a |O.......e.O.t...|
000008d0 6e 47 52 74 57 6b 00 00 24 00 90 6e ab 18 49 53 |nGRtWk..$..n..IS|
000008e0 e7 b5 c8 49 c8 68 d7 09 9d 35 00 00 f3 00 bc 21 |...I.h...5.....!|
000008f0 cc 6f 41 1b f1 7c 57 78 5c f8 a9 6a d0 99 00 00 |.oA..|Wx\..j....|
00000900 92 00 b0 49 8e 4b fa a3 fc b0 7e 40 b1 45 4d 98 |...I.K....~@.EM.|
00000910 0d 6d 00 00 72 00 e1 91 f2 64 72 79 55 4f b0 b0 |.m..r....dryUO..|
00000920 8c 8b 29 6d 56 38 00 00 1d 00 e6 92 46 a5 eb a6 |..)mV8......F...|
00000930 4a 32 2b 19 c4 70 e1 7b 54 02 00 00 d9 00 6c 3c |J2+..p.{T.....l<|
00000940 75 5f ad e6 82 11 32 43 65 f7 6a 4a 98 72 00 00 |u_....2Ce.jJ.r..|
00000950 d5 00 7e d9 3e 34 d4 6a ca 7e 92 ff 94 d4 ef 99 |..~.>4.j.~......|
00000960 4f fa 00 00 6f 00 f2 d4 34 01 bd 86 26 76 e9 fc |O...o...4...&v..|
00000970 62 d1 f8 bc 2d fd 00 00 0d 00 09 3c 2f f8 42 f3 |b...-......</.B.|
00000980 dc 91 8b 61 0f 33 32 c1 04 25 00 00 d3 00 13 c3 |...a.32..%......|
00000990 2c 32 a5 de 3e cf c2 1b 8e 2a 06 5a 76 39 00 00 |,2..>....*.Zv9..|
000009a0 ac 00 89 e8 b9 e6 b2 0a 76 72 53 c6 14 69 b2 c0 |........vrS..i..|
000009b0 8c a1 00 00 74 00 98 9b 02 4f 6d 7a 88 30 49 75 |....t....Omz.0Iu|
000009c0 59 52 11 88 1f 4d 00 00 08 00 1c 64 2b 32 62 01 |YR...M.....d+2b.|
000009d0 a7 64 99 4a 29 c8 92 bb 07 32 00 00 9d 00 e9 d4 |.d.J)....2......|
000009e0 e0 67 ac 15 2d 9e b8 03 6a ea 1c 24 22 22 00 00 |.g..-...j..$""..|
000009f0 30 00 5a 59 68 4b 79 9f 2f 4d ae 9a 04 2e 1e 1e |0.ZYhKy./M......|
BRAM data (bank 0): 160 x 128 @ 0x00000080; 20480 bits = 2560 bytes
try 1:
00000000 26 c9 00 00 93 00 22 4b 34 3b da 90 f9 1b c4 e9 |&....."K4;......|
00000010 0b 6a 86 24 72 3f 00 00 2c 00 ac 4a a3 b8 bb 1a |.j.$r?..,..J....|
00000020 1c 8d f0 b1 93 41 e3 de 13 04 00 00 6a 00 3b 9c |.....A......j.;.|
00000030 55 ad 71 d7 27 11 2b d2 2a 02 21 d5 13 6a 00 00 |U.q.'.+.*.!..j..|
00000040 a6 00 d7 bd 9e fd 0a a4 4e 92 8c 35 b1 c3 2a 69 |........N..5..*i|
00000050 02 6f 00 00 ef 00 d6 3d 37 5e 6b 87 a5 2f 94 7c |.o.....=7^k../.||
00000060 47 fe c8 3c d6 5d 00 00 bb 00 6e 21 fd 49 fd c7 |G..<.]....n!.I..|
00000070 36 b8 7c 7b 6d 8f ef 7c c9 24 00 00 52 00 42 51 |6.|{m..|.$..R.BQ|
00000080 6b 8b e4 8c ec d6 ec d5 39 3b fb ff 1b 6c 00 00 |k.......9;...l..|
00000090 28 00 2b 6e 16 a4 bf b5 fa fb 7c 1b f2 df d8 f1 |(.+n......|.....|
000000a0 75 4b 00 00 33 00 1a 37 9b 32 c2 25 a5 ca d3 71 |uK..3..7.2.%...q|
000000b0 6f 82 fe d3 61 3c 00 00 ba 00 31 19 83 32 eb 55 |o...a<....1..2.U|
000000c0 62 6c 71 53 07 bb e8 69 ea be 00 00 14 00 e4 17 |blqS...i........|
000000d0 c3 11 b9 45 1d ad 80 44 98 86 c7 72 6d 9a 00 00 |...E...D...rm...|
000000e0 27 00 5e 16 e1 66 27 a0 78 b0 24 df 21 b5 bc 4f |'.^..f'.x.$.!..O|
000000f0 6c 57 00 00 29 00 4b 4e 34 7e 67 d3 c6 73 97 4d |lW..).KN4~g..s.M|
00000100 9a a6 80 44 10 18 00 00 82 00 73 4d 4d c0 24 1f |...D......sMM.$.|
00000110 7e c1 f2 24 45 27 0a d8 6f ed 00 00 0c 00 1b d2 |~..$E'..o.......|
00000120 dc 43 0a 27 cf e4 b7 21 af 97 1e f6 e9 a2 00 00 |.C.'...!........|
00000130 eb 00 28 54 a1 09 0a 25 5c fd bb 25 df 30 cb 91 |..(T...%\..%.0..|
00000140 ee ad 00 00 dc 00 dc 52 9e ec e8 7a 45 68 62 b4 |.......R...zEhb.|
00000150 a2 ea c5 08 5a 93 00 00 15 00 2c 34 48 28 34 85 |....Z.....,4H(4.|
00000160 29 43 06 30 d3 e1 ab 14 9c f5 00 00 e8 00 80 7b |)C.0...........{|
00000170 95 6b 29 9b 52 a2 0f 1d b9 2d 46 e5 7e b7 00 00 |.k).R....-F.~...|
00000180 1d 00 98 8c 14 b3 1b 9c 92 28 84 8d 39 21 1d 7f |.........(..9!..|
00000190 68 9a 00 00 9d 00 12 02 51 e9 2a 07 2e f5 fc 11 |h.......Q.*.....|
000001a0 51 79 4f fd f5 dd 00 00 86 00 63 37 6f 1c bd 52 |QyO.......c7o..R|
000001b0 80 2a 0d a9 bc ea 48 d1 69 a6 00 00 6e 00 f7 61 |.*....H.i...n..a|
000001c0 b1 af bb 7b 24 64 94 cf 19 c7 f4 c0 9c d5 00 00 |...{$d..........|
000001d0 8e 00 78 10 cd db f2 68 6a 08 f9 c6 e6 21 86 00 |..x....hj....!..|
000001e0 cc b4 00 00 c5 00 25 94 1a 74 8b 34 30 6b 47 2f |......%..t.40kG/|
000001f0 96 8b 64 67 91 9a 00 00 59 00 25 d5 0c 02 a7 67 |..dg....Y.%....g|
00000200 26 0f 09 ae bf 28 a0 f0 bb 64 00 00 dd 00 f0 54 |&....(...d.....T|
00000210 d4 e4 bb e0 ba e2 82 7c a1 8e 88 97 91 9d 00 00 |.......|........|
00000220 13 00 ca 7a 15 2e 2b 8b 62 64 8f 7f d3 6d 15 84 |...z..+.bd...m..|
00000230 1a af 00 00 65 00 fe b0 54 f9 65 d0 67 0a 11 0f |....e...T.e.g...|
00000240 85 86 9a 24 dc 19 00 00 ab 00 2e dd c2 56 14 ae |...$.........V..|
00000250 3c 81 c6 16 8e eb c8 ab b7 c2 00 00 90 00 51 28 |<.............Q(|
00000260 f9 b9 03 2b 11 79 ec 9f da 61 01 64 b6 2e 00 00 |...+.y...a.d....|
00000270 9e 00 91 e0 a5 da e7 cd e3 56 e6 0f da 12 7e 94 |.........V....~.|
00000280 f3 e5 00 00 be 00 65 ea f4 5b 0d 93 46 7f 13 35 |......e..[..F..5|
00000290 ba 1f 7f 80 93 1d 00 00 be 00 24 76 b3 1b cf d1 |..........$v....|
000002a0 0e 25 d0 66 ed f8 bc 56 30 5c 00 00 72 00 38 e2 |.%.f...V0\..r.8.|
000002b0 c5 82 b7 c6 c7 4a 58 55 23 30 8d c5 95 ef 00 00 |.....JXU#0......|
000002c0 86 00 ad eb e6 b8 b5 0f c8 a0 66 a0 eb d6 ca 14 |..........f.....|
000002d0 66 85 00 00 77 00 0b 3c 45 27 8a c3 4e dd d2 43 |f...w..<E'..N..C|
000002e0 78 ff 8a 62 2b ec 00 00 34 00 6c 6d c5 1d e4 cc |x..b+...4.lm....|
000002f0 9f 39 99 a4 97 76 bc 07 54 5b 00 00 5c 00 ce ce |.9...v..T[..\...|
00000300 2a 29 05 f5 4f 64 ef d9 5d ec db cf f2 55 00 00 |*)..Od..]....U..|
00000310 2f 00 2b 77 ce ee 0e 4d 6f a2 fc 0a 36 59 28 07 |/.+w...Mo...6Y(.|
00000320 8f 9d 00 00 c8 00 79 6c 77 7f 0c 77 52 f1 1b b7 |......ylw..wR...|
00000330 c5 72 67 3a e2 a2 00 00 20 00 ad 26 ef d9 c4 9a |.rg:.... ..&....|
00000340 82 b7 c0 1b 43 3c 0e df ed 06 00 00 3c 00 23 21 |....C<......<.#!|
00000350 93 27 08 da 1c 96 ed 00 ca 3d 3e 2e 63 31 00 00 |.'.......=>.c1..|
00000360 f9 00 a9 ab 0d 89 fb bb 01 dc 24 aa 10 a4 47 96 |..........$...G.|
00000370 d1 ab 00 00 1b 00 b9 9d a7 b6 aa bd 6e 2b 3f f2 |............n+?.|
00000380 56 c6 28 d0 85 a7 00 00 83 00 23 75 70 a3 d5 49 |V.(.......#up..I|
00000390 73 a0 0c 8b 8f db 14 20 2e 9a 00 00 fc 00 a3 53 |s...... .......S|
000003a0 26 1c a4 cf 72 ad 9e 0f a7 fa 50 50 6d 56 00 00 |&...r.....PPmV..|
000003b0 d4 00 c4 40 bc 7d 1f d8 f0 69 d5 dd b9 ed 87 1c |...@.}...i......|
000003c0 a3 4b 00 00 16 00 9a 05 85 b7 bf a2 af 59 11 af |.K...........Y..|
000003d0 09 14 84 01 a8 e9 00 00 2c 00 7b 75 e1 27 15 a8 |........,.{u.'..|
000003e0 f0 d4 16 28 12 81 2e 24 5b 71 00 00 c0 00 9f 9f |...(...$[q......|
000003f0 48 f3 c6 4f aa 62 4d 96 b5 6f c3 46 16 dc 00 00 |H..O.bM..o.F....|
00000400 9e 00 ca 18 8d 56 85 c1 f3 24 29 3a 34 ec e4 de |.....V...$):4...|
00000410 ce 10 00 00 4f 00 7e cd 6c e4 e9 da 5d 74 4e e9 |....O.~.l...]tN.|
00000420 b3 cb 56 19 8b 5d 00 00 8f 00 a7 63 66 6c 0a 11 |..V..].....cfl..|
00000430 06 20 5b 5a b6 36 90 a9 8c 0a 00 00 a3 00 12 08 |. [Z.6..........|
00000440 2f 4e 2c 02 eb 66 b5 6d b0 fd f4 ec 31 21 00 00 |/N,..f.m....1!..|
00000450 f3 00 34 a7 c6 4c 0a 49 96 bb 1f 64 3d 5e 5e e7 |..4..L.I...d=^^.|
00000460 52 a5 00 00 ea 00 2d 53 8c a0 27 15 53 33 ed 9c |R.....-S..'.S3..|
00000470 0d d0 dd 68 a3 7d 00 00 c0 00 f2 c1 8e 89 b6 45 |...h.}.........E|
00000480 fb dc 9e 00 e9 d8 99 13 21 45 00 00 2b 00 e7 e2 |........!E..+...|
00000490 12 d1 80 a4 8e 66 3d 6f 8d 54 8b a3 db 79 00 00 |.....f=o.T...y..|
000004a0 0d 00 fb c9 55 2c b2 da d5 16 aa 9e dc bd 40 e2 |....U,........@.|
000004b0 c0 fd 00 00 b3 00 36 ea 69 83 6c 14 dd 75 5c 37 |......6.i.l..u\7|
000004c0 2a 6c f6 b0 91 46 00 00 d1 00 cd 4d cf 83 55 5c |*l...F.....M..U\|
000004d0 22 02 01 5e 5b b4 c3 d5 26 5b 00 00 3e 00 c8 54 |"..^[...&[..>..T|
000004e0 af 63 56 71 8b 46 2e c8 ba 32 fc 2e 00 bc 00 00 |.cVq.F...2......|
000004f0 9a 00 db 6b fc 15 a6 df b3 db 47 32 ed c9 3c 75 |...k......G2..<u|
00000500 03 0f 00 00 6c 00 92 9d c3 c8 15 52 02 36 1a 20 |....l......R.6. |
00000510 5e c1 9c 7a 7a 5e 00 00 2e 00 45 d7 2c 74 50 3d |^..zz^....E.,tP=|
00000520 b0 f1 1d ca 57 41 ec 58 e8 b0 00 00 17 00 bb 3b |....WA.X.......;|
00000530 75 f2 5d 86 93 5a cf fd b7 f5 c3 dc 34 67 00 00 |u.]..Z......4g..|
00000540 83 00 c5 47 03 26 84 8e 7e 11 c9 98 3d 5a 26 cd |...G.&..~...=Z&.|
00000550 20 db 00 00 79 00 f6 20 f4 0a 64 ed d1 d6 ac 71 | ...y.. ..d....q|
00000560 a3 89 8b 86 82 f4 00 00 a9 00 6c a4 3d 4c 16 8a |..........l.=L..|
00000570 72 47 eb 80 6d 61 62 55 77 1a 00 00 b2 00 2d 83 |rG..mabUw.....-.|
00000580 c8 4c dc 31 8b b4 28 6f f0 f9 82 ef 05 67 00 00 |.L.1..(o.....g..|
00000590 39 00 62 db e6 3b c0 2f 8d 52 a0 86 fa 01 38 b7 |9.b..;./.R....8.|
000005a0 0b 32 00 00 b4 00 d4 3c 76 f4 f4 c4 a2 d2 aa 4e |.2.....<v......N|
000005b0 fc 8a 5b 72 5e 40 00 00 96 00 42 c0 26 0c a0 3c |..[r^@....B.&..<|
000005c0 09 a9 d0 e1 a7 fb d6 e2 49 98 00 00 40 00 2f cc |........I...@./.|
000005d0 98 7b 1d f5 1a d9 d5 88 92 3e 97 8d 2d 46 00 00 |.{.......>..-F..|
000005e0 5f 00 fd f7 c6 92 8a a6 f4 60 f8 75 32 1c 6d 90 |_........`.u2.m.|
000005f0 30 da 00 00 b3 00 f1 e6 53 6f 4f 84 31 ba a1 62 |0.......SoO.1..b|
00000600 d5 ef 5b f4 f5 a6 00 00 e8 00 5c 66 93 78 5f f1 |..[.......\f.x_.|
00000610 ca ee 5d 9d 71 47 6e 38 f1 40 00 00 61 00 7e 2c |..].qGn8.@..a.~,|
00000620 36 30 48 1e 60 bb 42 b2 c7 67 92 75 74 31 00 00 |60H.`.B..g.ut1..|
00000630 ee 00 99 7d 37 bd 17 fe 0c c0 21 22 26 0b 5f fd |...}7.....!"&._.|
00000640 c0 44 00 00 18 00 b5 a2 e6 8c 7b 78 52 b4 f7 50 |.D........{xR..P|
00000650 bf 72 41 f7 46 56 00 00 8f 00 08 14 a3 1d 6c c2 |.rA.FV........l.|
00000660 8e 00 44 4d 29 e6 ea 36 ab 91 00 00 56 00 c3 36 |..DM)..6....V..6|
00000670 38 13 45 93 74 67 a0 b7 25 e1 9f 4b b3 b6 00 00 |8.E.tg..%..K....|
00000680 c7 00 fd 82 17 2d 21 f4 8a 3e 95 f0 6a 2f 80 45 |.....-!..>..j/.E|
00000690 77 41 00 00 da 00 65 a1 39 93 fb ea b4 8e dc c7 |wA....e.9.......|
000006a0 66 11 a5 13 41 6c 00 00 28 00 b4 1e 36 26 60 27 |f...Al..(...6&`'|
000006b0 20 ec 14 da 65 4a 39 0c 1c c4 00 00 b9 00 b9 07 | ...eJ9.........|
000006c0 11 72 78 b1 05 e8 13 1f c0 55 b4 ef 5b 4b 00 00 |.rx......U..[K..|
000006d0 ea 00 0d 26 44 91 37 9c 11 bf 8d 0a ba ad c2 6b |...&D.7........k|
000006e0 58 c6 00 00 40 00 0f e7 6c 58 26 21 44 b3 3c 78 |X...@...lX&!D.<x|
000006f0 f7 28 65 c7 e3 36 00 00 98 00 78 ce c1 fd 03 73 |.(e..6....x....s|
00000700 38 0a a5 38 90 60 df b2 24 c2 00 00 7e 00 69 d3 |8..8.`..$...~.i.|
00000710 c2 a4 d7 ac d3 72 c7 43 a8 0a 36 8a 57 7b 00 00 |.....r.C..6.W{..|
00000720 bf 00 c5 ef 24 53 8e 42 f8 f1 b4 c0 f0 bf fa e2 |....$S.B........|
00000730 bd 6f 00 00 67 00 78 5e 43 a1 03 75 a6 92 be 14 |.o..g.x^C..u....|
00000740 44 b7 06 04 8f 90 00 00 09 00 58 bd 8e 34 b5 4f |D.........X..4.O|
00000750 d0 24 af 5f e4 56 d7 c5 ef 1d 00 00 38 00 f3 4f |.$._.V......8..O|
00000760 63 03 db 46 a1 1c d3 e4 64 cf 85 e8 ca 96 00 00 |c..F....d.......|
00000770 6e 00 f4 9f bf 8a 9a b4 18 e1 a7 48 6e 5b 66 07 |n..........Hn[f.|
00000780 fc 8f 00 00 e2 00 8c 3d 56 5a 81 67 dc 04 e7 65 |.......=VZ.g...e|
00000790 19 6f 74 b8 00 9b 00 00 9c 00 4a e5 32 ee d8 12 |.ot.......J.2...|
000007a0 e3 57 1e c5 73 ca ee dc 77 c6 00 00 71 00 cb 0a |.W..s...w...q...|
000007b0 0c f3 ea db f4 b1 cf 7a 73 23 b4 31 33 0b 00 00 |.......zs#.13...|
000007c0 e7 00 ba cb 9f 69 9d a9 b9 a5 a4 b9 86 e6 4f db |.....i........O.|
000007d0 b1 e0 00 00 b7 00 c5 8b f1 29 2f 37 d5 60 b1 79 |.........)/7.`.y|
000007e0 f9 72 04 80 e6 40 00 00 a2 00 ff 4c 54 1d 8a 5c |.r...@.....LT..\|
000007f0 18 ae e6 11 2a de 3d 99 ad d4 00 00 de 00 3a f6 |....*.=.......:.|
00000800 35 d8 78 c8 83 fe 7e 2f 88 39 3a 96 08 0e 00 00 |5.x...~/.9:.....|
00000810 1c 00 5f 47 73 2a d8 84 a8 55 eb 7b 53 de 46 20 |.._Gs*...U.{S.F |
00000820 db d5 00 00 ae 00 66 9d 37 e0 f7 f5 35 18 4d 90 |......f.7...5.M.|
00000830 2f ab 1a 00 7f 6d 00 00 f9 00 f2 96 de fb 13 0b |/....m..........|
00000840 1e 2b 5f 92 60 75 66 7d 84 ed 00 00 17 00 06 6f |.+_.`uf}.......o|
00000850 d5 1c 74 10 93 e4 ee e1 85 39 74 b9 3d e6 00 00 |..t......9t.=...|
00000860 73 00 81 96 41 50 0f f3 be 88 4d 0d 4d fe 02 ea |s...AP....M.M...|
00000870 4e f3 00 00 c4 00 5c 1f 7c 24 80 e9 4e dd 57 bb |N.....\.|$..N.W.|
00000880 8a 8e 17 8d fc e0 00 00 4d 00 2f b1 71 bd dc b0 |........M./.q...|
00000890 ec 6c 31 a2 e3 45 cb 63 1f bb 00 00 7a 00 e0 88 |.l1..E.c....z...|
000008a0 2e cf 4b 3e 8b 7f f3 bd a2 45 4c be 0b 4d 00 00 |..K>.....EL..M..|
000008b0 f7 00 69 7f 3a 1c 28 06 7f 18 3b 7d a6 18 46 1d |..i.:.(...;}..F.|
000008c0 33 0b 00 00 24 00 a4 35 d8 60 0a 76 98 ce bf d8 |3...$..5.`.v....|
000008d0 b6 60 a6 64 af 72 00 00 b5 00 d1 9b 77 4d 18 30 |.`.d.r......wM.0|
000008e0 0c f2 5d 7d 19 10 51 b6 6b df 00 00 ba 00 43 2e |..]}..Q.k.....C.|
000008f0 61 28 df 2a 2e f3 60 78 12 69 2a 37 b5 59 00 00 |a(.*..`x.i*7.Y..|
00000900 a9 00 74 37 15 e9 6b 99 5a 25 3a 88 90 45 38 a6 |..t7..k.Z%:..E8.|
00000910 5b 72 00 00 fe 00 b7 3c 25 42 5c 91 e6 db 60 32 |[r.....<%B\...`2|
00000920 c8 71 1a 0e ab 63 00 00 60 00 a3 b7 ba 1d 3c 5d |.q...c..`.....<]|
00000930 87 c3 3c 9e 1b e8 1c b0 2f 55 00 00 d7 00 45 af |..<...../U....E.|
00000940 a8 2e d9 ae 18 90 6b d2 ee b8 1d 95 ca 05 00 00 |......k.........|
00000950 03 00 a1 b5 6b ec b3 4a 95 9f 30 08 2d bf 75 80 |....k..J..0.-.u.|
00000960 9a cf 00 00 98 00 8d 3f bd ba f0 3e 75 ae 41 31 |.......?...>u.A1|
00000970 97 eb ec 0c 25 92 00 00 51 00 69 91 88 5d 31 3b |....%...Q.i..]1;|
00000980 73 c6 2d 4a af d2 16 7b 06 e2 00 00 48 00 39 06 |s.-J...{....H.9.|
00000990 6c 4b 75 82 d0 06 b1 49 7e f9 19 7d 62 32 00 00 |lKu....I~..}b2..|
000009a0 eb 00 b8 b1 75 bb c3 25 47 eb 90 6d c3 49 04 d6 |....u..%G..m.I..|
000009b0 fe 9e 00 00 0a 00 9d 06 79 5f f8 68 0a 65 ff b2 |........y_.h.e..|
000009c0 b7 49 0e 37 83 6d 00 00 9d 00 2b ce 48 a1 f1 ae |.I.7.m....+.H...|
000009d0 6b 5c 72 08 68 09 3a 85 7d 3c 00 00 f1 00 44 2f |k\r.h.:.}<....D/|
000009e0 19 49 ba ca 3f e9 63 86 f4 a5 75 1c 28 e8 00 00 |.I..?.c...u.(...|
000009f0 4f 00 53 00 16 38 28 51 c2 e8 66 ba ff 88 02 41 |O.S..8(Q..f....A|
BRAM data (bank 1): 80 x 128 @ 0x00000000; 10240 bits = 1280 bytes
try 1:
00000000 52 a1 fe cc 00 00 00 00 00 00 25 a3 4e 7a 00 00 |R.........%.Nz..|
00000010 00 00 00 00 f9 ca 0a fd 00 00 00 00 00 00 21 1a |..............!.|
00000020 8c 2a 00 00 00 00 00 00 aa 0b ba 86 00 00 00 00 |.*..............|
00000030 00 00 c3 b5 a2 4d 00 00 00 00 00 00 61 96 b4 d9 |.....M......a...|
00000040 00 00 00 00 00 00 6b 94 a3 70 00 00 00 00 00 00 |......k..p......|
00000050 d1 1e 2b 0a 00 00 00 00 00 00 76 25 03 51 00 00 |..+.......v%.Q..|
00000060 00 00 00 00 2a e5 ce dd 00 00 00 00 00 00 85 e3 |....*...........|
00000070 b4 90 00 00 00 00 00 00 a5 2e 0d ee 00 00 00 00 |................|
00000080 00 00 ac 3f 3a 28 00 00 00 00 00 00 6e 9f 66 99 |...?:(......n.f.|
00000090 00 00 00 00 00 00 c8 16 a5 f5 00 00 00 00 00 00 |................|
000000a0 cd 39 05 b4 00 00 00 00 00 00 d2 0a 8d 8a 00 00 |.9..............|
000000b0 00 00 00 00 81 70 9d de 00 00 00 00 00 00 e4 75 |.....p.........u|
000000c0 c9 31 00 00 00 00 00 00 f1 fd 6e 8f 00 00 00 00 |.1........n.....|
000000d0 00 00 eb 1d 93 1f 00 00 00 00 00 00 bf 57 e2 ce |.............W..|
000000e0 00 00 00 00 00 00 d9 71 74 a1 00 00 00 00 00 00 |.......qt.......|
000000f0 78 2c 76 8d 00 00 00 00 00 00 bf 45 6b d7 00 00 |x,v........Ek...|
00000100 00 00 00 00 54 d5 a1 a5 00 00 00 00 00 00 bf 47 |....T..........G|
00000110 03 2e 00 00 00 00 00 00 bd 06 9b d2 00 00 00 00 |................|
00000120 00 00 a3 3c e7 35 00 00 00 00 00 00 ec d8 61 3e |...<.5........a>|
00000130 00 00 00 00 00 00 a8 e1 6f 27 00 00 00 00 00 00 |........o'......|
00000140 b8 76 f9 bc 00 00 00 00 00 00 b8 77 ac 7d 00 00 |.v.........w.}..|
00000150 00 00 00 00 fb b8 7b e4 00 00 00 00 00 00 12 26 |......{........&|
00000160 56 d5 00 00 00 00 00 00 0a 01 06 a1 00 00 00 00 |V...............|
00000170 00 00 31 1d 68 2a 00 00 00 00 00 00 d3 f9 76 8b |..1.h*........v.|
00000180 00 00 00 00 00 00 d2 4a 48 11 00 00 00 00 00 00 |.......JH.......|
00000190 47 2e 32 ab 00 00 00 00 00 00 04 46 49 00 00 00 |G.2........FI...|
000001a0 00 00 00 00 ac 89 ce 15 00 00 00 00 00 00 f4 a1 |................|
000001b0 5e 55 00 00 00 00 00 00 34 9a 82 2b 00 00 00 00 |^U......4..+....|
000001c0 00 00 59 56 62 07 00 00 00 00 00 00 be e0 ed c0 |..YVb...........|
000001d0 00 00 00 00 00 00 66 d9 da 36 00 00 00 00 00 00 |......f..6......|
000001e0 5c 1c 42 b5 00 00 00 00 00 00 67 19 f9 c0 00 00 |\.B.......g.....|
000001f0 00 00 00 00 b0 1f ce ed 00 00 00 00 00 00 70 e6 |..............p.|
00000200 16 7c 00 00 00 00 00 00 47 71 e7 61 00 00 00 00 |.|......Gq.a....|
00000210 00 00 a3 13 3e 55 00 00 00 00 00 00 5b 93 40 44 |....>U......[.@D|
00000220 00 00 00 00 00 00 51 79 b6 a3 00 00 00 00 00 00 |......Qy........|
00000230 7c 92 e5 37 00 00 00 00 00 00 69 85 6a d8 00 00 ||..7......i.j...|
00000240 00 00 00 00 e8 91 c5 fe 00 00 00 00 00 00 03 56 |...............V|
00000250 0e a3 00 00 00 00 00 00 31 b3 46 3d 00 00 00 00 |........1.F=....|
00000260 00 00 3e 3b a0 48 00 00 00 00 00 00 1a 39 43 b7 |..>;.H.......9C.|
00000270 00 00 00 00 00 00 87 eb 59 d3 00 00 00 00 00 00 |........Y.......|
00000280 20 53 fe c8 00 00 00 00 00 00 0d 8b 98 cc 00 00 | S..............|
00000290 00 00 00 00 aa 2f 9e 47 00 00 00 00 00 00 59 e3 |...../.G......Y.|
000002a0 a5 1d 00 00 00 00 00 00 be 93 7f d2 00 00 00 00 |................|
000002b0 00 00 06 ae 3e d7 00 00 00 00 00 00 56 cf 34 f3 |....>.......V.4.|
000002c0 00 00 00 00 00 00 74 f0 93 f5 00 00 00 00 00 00 |......t.........|
000002d0 83 78 4a 64 00 00 00 00 00 00 a7 59 57 e8 00 00 |.xJd.......YW...|
000002e0 00 00 00 00 68 0b 00 26 00 00 00 00 00 00 3d 89 |....h..&......=.|
000002f0 1d c0 00 00 00 00 00 00 5b 21 6b d6 00 00 00 00 |........[!k.....|
00000300 00 00 60 59 d1 04 00 00 00 00 00 00 92 cf c3 23 |..`Y...........#|
00000310 00 00 00 00 00 00 f2 7f 2b b0 00 00 00 00 00 00 |........+.......|
00000320 81 dc 64 e4 00 00 00 00 00 00 cc 17 2b ee 00 00 |..d.........+...|
00000330 00 00 00 00 07 56 ce 7a 00 00 00 00 00 00 5c a3 |.....V.z......\.|
00000340 1b ba 00 00 00 00 00 00 1f d0 dd 47 00 00 00 00 |...........G....|
00000350 00 00 d2 de 29 f4 00 00 00 00 00 00 5f 90 62 1c |....)......._.b.|
00000360 00 00 00 00 00 00 fc 88 23 7a 00 00 00 00 00 00 |........#z......|
00000370 e2 e0 c3 60 00 00 00 00 00 00 34 1f 76 12 00 00 |...`......4.v...|
00000380 00 00 00 00 58 d2 3c e6 00 00 00 00 00 00 21 e5 |....X.<.......!.|
00000390 28 61 00 00 00 00 00 00 33 fc 47 58 00 00 00 00 |(a......3.GX....|
000003a0 00 00 ef d8 3c 88 00 00 00 00 00 00 d6 76 1e 5a |....<........v.Z|
000003b0 00 00 00 00 00 00 bc 08 0f 83 00 00 00 00 00 00 |................|
000003c0 7f 93 f0 b9 00 00 00 00 00 00 eb 2f f1 e1 00 00 |.........../....|
000003d0 00 00 00 00 9c 74 74 3e 00 00 00 00 00 00 fd 79 |.....tt>.......y|
000003e0 45 81 00 00 00 00 00 00 13 3f 59 39 00 00 00 00 |E........?Y9....|
000003f0 00 00 b0 16 8a a6 00 00 00 00 00 00 ef dd 1e 9b |................|
00000400 00 00 00 00 00 00 54 c1 46 2c 00 00 00 00 00 00 |......T.F,......|
00000410 d6 29 34 83 00 00 00 00 00 00 e8 62 89 1f 00 00 |.)4........b....|
00000420 00 00 00 00 b9 36 72 0c 00 00 00 00 00 00 5b 24 |.....6r.......[$|
00000430 1a 7c 00 00 00 00 00 00 79 71 d8 a4 00 00 00 00 |.|......yq......|
00000440 00 00 1a 73 62 8d 00 00 00 00 00 00 19 a9 93 15 |...sb...........|
00000450 00 00 00 00 00 00 ae 76 1c 04 00 00 00 00 00 00 |.......v........|
00000460 03 8e ca 37 00 00 00 00 00 00 5a 13 77 99 00 00 |...7......Z.w...|
00000470 00 00 00 00 c5 f5 ea da 00 00 00 00 00 00 ab ee |................|
00000480 0f d8 00 00 00 00 00 00 d5 51 03 17 00 00 00 00 |.........Q......|
00000490 00 00 86 07 af b0 00 00 00 00 00 00 d5 c5 e8 9b |................|
000004a0 00 00 00 00 00 00 47 5c 34 b0 00 00 00 00 00 00 |......G\4.......|
000004b0 50 e1 87 f5 00 00 00 00 00 00 2e 1f c6 68 00 00 |P............h..|
000004c0 00 00 00 00 16 67 67 aa 00 00 00 00 00 00 df cd |.....gg.........|
000004d0 c1 63 00 00 00 00 00 00 18 31 da 53 00 00 00 00 |.c.......1.S....|
000004e0 00 00 b6 b7 33 35 00 00 00 00 00 00 a4 ca 36 fc |....35........6.|
000004f0 00 00 00 00 00 00 35 e5 15 34 00 00 00 00 00 00 |......5..4......|
BRAM data (bank 1): 80 x 128 @ 0x00000080; 10240 bits = 1280 bytes
try 1:
00000000 41 18 4c 15 00 00 00 00 00 00 41 14 93 0a 00 00 |A.L.......A.....|
00000010 00 00 00 00 b6 d8 fc 72 00 00 00 00 00 00 5c 75 |.......r......\u|
00000020 64 44 00 00 00 00 00 00 ba 56 93 35 00 00 00 00 |dD.......V.5....|
00000030 00 00 2a 31 ef 40 00 00 00 00 00 00 df c2 86 91 |..*1.@..........|
00000040 00 00 00 00 00 00 85 24 1d d0 00 00 00 00 00 00 |.......$........|
00000050 ae e7 f5 2b 00 00 00 00 00 00 99 0b cd 3a 00 00 |...+.........:..|
00000060 00 00 00 00 ed 94 a1 a4 00 00 00 00 00 00 b2 50 |...............P|
00000070 07 77 00 00 00 00 00 00 8d f1 70 c1 00 00 00 00 |.w........p.....|
00000080 00 00 7b a4 7e 9a 00 00 00 00 00 00 a5 9a a0 30 |..{.~..........0|
00000090 00 00 00 00 00 00 ff 8d 42 fc 00 00 00 00 00 00 |........B.......|
000000a0 b0 07 c0 ae 00 00 00 00 00 00 17 dd f0 c4 00 00 |................|
000000b0 00 00 00 00 52 86 d0 4e 00 00 00 00 00 00 af 51 |....R..N.......Q|
000000c0 e4 9a 00 00 00 00 00 00 5d 6b 2b 1e 00 00 00 00 |........]k+.....|
000000d0 00 00 36 14 93 d7 00 00 00 00 00 00 88 f0 75 57 |..6...........uW|
000000e0 00 00 00 00 00 00 44 90 5a da 00 00 00 00 00 00 |......D.Z.......|
000000f0 40 f9 09 b4 00 00 00 00 00 00 ef 07 70 1e 00 00 |@...........p...|
00000100 00 00 00 00 5e 2c 29 39 00 00 00 00 00 00 e0 4b |....^,)9.......K|
00000110 a8 dc 00 00 00 00 00 00 3f 0a cd f5 00 00 00 00 |........?.......|
00000120 00 00 9b f2 16 c2 00 00 00 00 00 00 e1 72 19 90 |.............r..|
00000130 00 00 00 00 00 00 fd f1 a7 eb 00 00 00 00 00 00 |................|
00000140 de a3 58 a6 00 00 00 00 00 00 ef c0 36 a6 00 00 |..X.........6...|
00000150 00 00 00 00 1c d1 61 30 00 00 00 00 00 00 af 79 |......a0.......y|
00000160 24 4a 00 00 00 00 00 00 be 11 35 47 00 00 00 00 |$J........5G....|
00000170 00 00 72 f3 00 f1 00 00 00 00 00 00 ec 5b 17 85 |..r..........[..|
00000180 00 00 00 00 00 00 83 4f dc 89 00 00 00 00 00 00 |.......O........|
00000190 18 d6 72 63 00 00 00 00 00 00 ff 57 ab b4 00 00 |..rc.......W....|
000001a0 00 00 00 00 6d 7a fc 4b 00 00 00 00 00 00 ea b0 |....mz.K........|
000001b0 e8 b6 00 00 00 00 00 00 ed d3 fc 23 00 00 00 00 |...........#....|
000001c0 00 00 6d 48 fe e0 00 00 00 00 00 00 ab 9c 78 4f |..mH..........xO|
000001d0 00 00 00 00 00 00 c7 15 28 72 00 00 00 00 00 00 |........(r......|
000001e0 21 b5 58 2b 00 00 00 00 00 00 8e 01 ef 03 00 00 |!.X+............|
000001f0 00 00 00 00 a9 b2 ab 34 00 00 00 00 00 00 c3 a8 |.......4........|
00000200 6e 35 00 00 00 00 00 00 91 4f cb b7 00 00 00 00 |n5.......O......|
00000210 00 00 28 46 ea d3 00 00 00 00 00 00 bf a1 3e db |..(F..........>.|
00000220 00 00 00 00 00 00 a6 d3 8d be 00 00 00 00 00 00 |................|
00000230 a3 b8 34 8d 00 00 00 00 00 00 1d 38 07 1a 00 00 |..4........8....|
00000240 00 00 00 00 2c 14 44 73 00 00 00 00 00 00 2a d4 |....,.Ds......*.|
00000250 03 3c 00 00 00 00 00 00 45 cf 19 1b 00 00 00 00 |.<......E.......|
00000260 00 00 d4 09 fc e9 00 00 00 00 00 00 87 46 57 c3 |.............FW.|
00000270 00 00 00 00 00 00 04 fc d3 8f 00 00 00 00 00 00 |................|
00000280 ee 2b 02 06 00 00 00 00 00 00 89 85 17 fa 00 00 |.+..............|
00000290 00 00 00 00 58 7b d4 83 00 00 00 00 00 00 9d 51 |....X{.........Q|
000002a0 3c 5c 00 00 00 00 00 00 24 ae 22 e0 00 00 00 00 |<\......$.".....|
000002b0 00 00 f0 69 ee 0e 00 00 00 00 00 00 97 d9 5a 9a |...i..........Z.|
000002c0 00 00 00 00 00 00 6f 13 3d f2 00 00 00 00 00 00 |......o.=.......|
000002d0 01 1d 2b 50 00 00 00 00 00 00 48 60 90 04 00 00 |..+P......H`....|
000002e0 00 00 00 00 dc 19 28 d0 00 00 00 00 00 00 7b 5d |......(.......{]|
000002f0 44 90 00 00 00 00 00 00 85 32 aa 00 00 00 00 00 |D........2......|
00000300 00 00 70 36 de 86 00 00 00 00 00 00 13 1f 3e f7 |..p6..........>.|
00000310 00 00 00 00 00 00 33 6c 97 a1 00 00 00 00 00 00 |......3l........|
00000320 ea 47 6e 9b 00 00 00 00 00 00 51 40 89 5c 00 00 |.Gn.......Q@.\..|
00000330 00 00 00 00 57 58 93 86 00 00 00 00 00 00 2a a8 |....WX........*.|
00000340 c0 12 00 00 00 00 00 00 cf 19 ae 61 00 00 00 00 |...........a....|
00000350 00 00 58 f5 ea 75 00 00 00 00 00 00 e5 d8 8b b8 |..X..u..........|
00000360 00 00 00 00 00 00 7e c8 98 20 00 00 00 00 00 00 |......~.. ......|
00000370 dd 93 a7 1d 00 00 00 00 00 00 fa ad ee 63 00 00 |.............c..|
00000380 00 00 00 00 b9 03 e2 c1 00 00 00 00 00 00 2c e3 |..............,.|
00000390 87 65 00 00 00 00 00 00 9f 54 d9 41 00 00 00 00 |.e.......T.A....|
000003a0 00 00 03 f0 94 7b 00 00 00 00 00 00 b9 50 5b ec |.....{.......P[.|
000003b0 00 00 00 00 00 00 6f c1 42 b1 00 00 00 00 00 00 |......o.B.......|
000003c0 32 3c f1 f7 00 00 00 00 00 00 84 e1 5c 4b 00 00 |2<..........\K..|
000003d0 00 00 00 00 ba 90 a5 4b 00 00 00 00 00 00 dd 6e |.......K.......n|
000003e0 94 54 00 00 00 00 00 00 65 c9 c3 b2 00 00 00 00 |.T......e.......|
000003f0 00 00 9a 5a 83 3d 00 00 00 00 00 00 94 b4 10 54 |...Z.=.........T|
00000400 00 00 00 00 00 00 ba cf f8 c6 00 00 00 00 00 00 |................|
00000410 f0 8f b6 85 00 00 00 00 00 00 1c c1 36 af 00 00 |............6...|
00000420 00 00 00 00 61 50 9e 0d 00 00 00 00 00 00 92 cf |....aP..........|
00000430 8f 4a 00 00 00 00 00 00 99 20 c3 1b 00 00 00 00 |.J....... ......|
00000440 00 00 22 67 f3 cd 00 00 00 00 00 00 e4 96 61 3d |.."g..........a=|
00000450 00 00 00 00 00 00 af b4 34 e5 00 00 00 00 00 00 |........4.......|
00000460 5a 75 a5 66 00 00 00 00 00 00 80 a2 12 63 00 00 |Zu.f.........c..|
00000470 00 00 00 00 dc f9 9c 82 00 00 00 00 00 00 70 2f |..............p/|
00000480 83 94 00 00 00 00 00 00 fb 0d ac f1 00 00 00 00 |................|
00000490 00 00 10 f7 93 a5 00 00 00 00 00 00 23 ac 83 26 |............#..&|
000004a0 00 00 00 00 00 00 3a f8 d8 b1 00 00 00 00 00 00 |......:.........|
000004b0 d8 1d 19 8f 00 00 00 00 00 00 c9 06 89 9a 00 00 |................|
000004c0 00 00 00 00 e0 f9 b1 a7 00 00 00 00 00 00 e3 df |................|
000004d0 ed b0 00 00 00 00 00 00 d1 40 48 5c 00 00 00 00 |.........@H\....|
000004e0 00 00 d7 2d 13 1d 00 00 00 00 00 00 f5 88 da 6d |...-...........m|
000004f0 00 00 00 00 00 00 70 06 9b 31 00 00 00 00 00 00 |......p..1......|
BRAM data (bank 2): 160 x 128 @ 0x00000000; 20480 bits = 2560 bytes
try 1:
00000000 31 ca 5d d1 22 8c af db c4 1e 00 00 00 00 00 00 |1.]."...........|
00000010 00 00 00 00 a9 cf 36 02 45 e0 c3 18 8c 56 00 00 |......6.E....V..|
00000020 00 00 00 00 00 00 00 00 8e 81 29 45 95 03 df 9e |..........)E....|
00000030 62 1e 00 00 00 00 00 00 00 00 00 00 77 29 52 a3 |b...........w)R.|
00000040 bf 53 07 9a a5 44 00 00 00 00 00 00 00 00 00 00 |.S...D..........|
00000050 5e df 3e 0f 8f 21 8b b2 f9 31 00 00 00 00 00 00 |^.>..!...1......|
00000060 00 00 00 00 0d 47 19 88 2e 67 23 7f 7e 14 00 00 |.....G...g#.~...|
00000070 00 00 00 00 00 00 00 00 06 f8 9e 9c 5b a6 1b c6 |............[...|
00000080 25 cb 00 00 00 00 00 00 00 00 00 00 e6 b9 92 a7 |%...............|
00000090 fc 90 8a 42 6d 0f 00 00 00 00 00 00 00 00 00 00 |...Bm...........|
000000a0 53 39 f2 77 70 b2 0e 4c 10 84 00 00 00 00 00 00 |S9.wp..L........|
000000b0 00 00 00 00 c7 1e 96 95 5e 92 9c 4e 0b fe 00 00 |........^..N....|
000000c0 00 00 00 00 00 00 00 00 d5 21 a8 c5 96 53 de 1e |.........!...S..|
000000d0 66 12 00 00 00 00 00 00 00 00 00 00 ef 22 0f ec |f............"..|
000000e0 41 4f 98 b8 19 ef 00 00 00 00 00 00 00 00 00 00 |AO..............|
000000f0 fb 3a 4e 29 1f b8 32 1e 32 9c 00 00 00 00 00 00 |.:N)..2.2.......|
00000100 00 00 00 00 2c 3a 78 2f 58 2c 96 a3 9d d1 00 00 |....,:x/X,......|
00000110 00 00 00 00 00 00 00 00 32 f6 af 6c 6a 51 ab 5b |........2..ljQ.[|
00000120 6a e6 00 00 00 00 00 00 00 00 00 00 2a 53 2c 09 |j...........*S,.|
00000130 38 17 b8 5b d3 e8 00 00 00 00 00 00 00 00 00 00 |8..[............|
00000140 dd 2a 20 02 52 6c df 87 f6 b5 00 00 00 00 00 00 |.* .Rl..........|
00000150 00 00 00 00 f7 4f 6e 5a b0 b7 98 67 37 90 00 00 |.....OnZ...g7...|
00000160 00 00 00 00 00 00 00 00 34 45 f8 3e 46 5e db aa |........4E.>F^..|
00000170 9f 75 00 00 00 00 00 00 00 00 00 00 67 51 b4 69 |.u..........gQ.i|
00000180 7d 7b 58 49 78 75 00 00 00 00 00 00 00 00 00 00 |}{XIxu..........|
00000190 4e 81 45 9e 41 53 02 39 9d 04 00 00 00 00 00 00 |N.E.AS.9........|
000001a0 00 00 00 00 41 1c fa 26 70 c7 f5 9e d3 36 00 00 |....A..&p....6..|
000001b0 00 00 00 00 00 00 00 00 68 18 a2 cc 2a 91 fd ac |........h...*...|
000001c0 c4 6c 00 00 00 00 00 00 00 00 00 00 e5 de 1e 3a |.l.............:|
000001d0 cf 5f 2b 8a 57 21 00 00 00 00 00 00 00 00 00 00 |._+.W!..........|
000001e0 5c 6d 77 21 69 28 1f 0f e5 7f 00 00 00 00 00 00 |\mw!i(..........|
000001f0 00 00 00 00 b9 4c 6d 29 72 12 e2 26 ab 50 00 00 |.....Lm)r..&.P..|
00000200 00 00 00 00 00 00 00 00 41 65 04 30 51 49 ca f4 |........Ae.0QI..|
00000210 70 51 00 00 00 00 00 00 00 00 00 00 2a c7 fb 74 |pQ..........*..t|
00000220 d9 cb b9 26 05 98 00 00 00 00 00 00 00 00 00 00 |...&............|
00000230 e2 19 d0 2e 44 6e 10 dc 86 a5 00 00 00 00 00 00 |....Dn..........|
00000240 00 00 00 00 05 a7 67 d3 32 ab c4 8c b0 33 00 00 |......g.2....3..|
00000250 00 00 00 00 00 00 00 00 71 91 2e dd ca 5f 7f 32 |........q...._.2|
00000260 0f ad 00 00 00 00 00 00 00 00 00 00 df d3 8b fa |................|
00000270 0e 44 28 73 88 cf 00 00 00 00 00 00 00 00 00 00 |.D(s............|
00000280 6c 19 94 32 02 81 98 66 3d a2 00 00 00 00 00 00 |l..2...f=.......|
00000290 00 00 00 00 7c 7d 23 0f 01 49 b9 db 89 e8 00 00 |....|}#..I......|
000002a0 00 00 00 00 00 00 00 00 fa 8d 0c cb dc ca 5c 4b |..............\K|
000002b0 17 70 00 00 00 00 00 00 00 00 00 00 bb 9d 54 a3 |.p............T.|
000002c0 76 93 49 f1 3a c3 00 00 00 00 00 00 00 00 00 00 |v.I.:...........|
000002d0 81 e1 da cd c0 ee df ba 3e 87 00 00 00 00 00 00 |........>.......|
000002e0 00 00 00 00 95 d7 46 bc 37 b5 c9 46 41 7d 00 00 |......F.7..FA}..|
000002f0 00 00 00 00 00 00 00 00 c8 12 9d 2c 9c 71 93 8d |...........,.q..|
00000300 55 30 00 00 00 00 00 00 00 00 00 00 8b b7 de d1 |U0..............|
00000310 61 13 1a a2 f8 ee 00 00 00 00 00 00 00 00 00 00 |a...............|
00000320 ef 9c 7a cb e2 83 14 dc 50 57 00 00 00 00 00 00 |..z.....PW......|
00000330 00 00 00 00 52 0c 77 4a 1a 61 1a 8d 2c b7 00 00 |....R.wJ.a..,...|
00000340 00 00 00 00 00 00 00 00 96 8a 52 95 0e 71 6e 72 |..........R..qnr|
00000350 ee 06 00 00 00 00 00 00 00 00 00 00 a3 0c 07 87 |................|
00000360 f2 96 4d b4 69 14 00 00 00 00 00 00 00 00 00 00 |..M.i...........|
00000370 b3 64 1e 37 03 b7 af 0f 97 60 00 00 00 00 00 00 |.d.7.....`......|
00000380 00 00 00 00 6e 1f 9e 7a 0b ad 0d 99 a1 60 00 00 |....n..z.....`..|
00000390 00 00 00 00 00 00 00 00 59 2b 65 e2 b9 5b 8a 2c |........Y+e..[.,|
000003a0 0a a2 00 00 00 00 00 00 00 00 00 00 67 7c 35 0a |............g|5.|
000003b0 f1 18 43 5d 2f d5 00 00 00 00 00 00 00 00 00 00 |..C]/...........|
000003c0 e1 a2 53 0b ea bf 79 f8 f2 51 00 00 00 00 00 00 |..S...y..Q......|
000003d0 00 00 00 00 f5 d3 a5 84 d8 e6 29 59 f7 7c 00 00 |..........)Y.|..|
000003e0 00 00 00 00 00 00 00 00 ff c3 4f 83 02 84 4b e3 |..........O...K.|
000003f0 9f 6c 00 00 00 00 00 00 00 00 00 00 9a 92 08 f1 |.l..............|
00000400 21 52 e7 af 4e 44 00 00 00 00 00 00 00 00 00 00 |!R..ND..........|
00000410 01 c6 31 0a 05 97 be 54 01 4a 00 00 00 00 00 00 |..1....T.J......|
00000420 00 00 00 00 2f e2 77 fe a2 46 34 50 8e c1 00 00 |..../.w..F4P....|
00000430 00 00 00 00 00 00 00 00 ed a1 8e 1a d2 c8 30 37 |..............07|
00000440 1d a5 00 00 00 00 00 00 00 00 00 00 e1 36 ae f7 |.............6..|
00000450 0d 80 0f d6 44 02 00 00 00 00 00 00 00 00 00 00 |....D...........|
00000460 a8 a8 42 c9 5f f0 93 7d 2f fc 00 00 00 00 00 00 |..B._..}/.......|
00000470 00 00 00 00 01 ef ce 3b 78 e1 d4 29 2a c2 00 00 |.......;x..)*...|
00000480 00 00 00 00 00 00 00 00 90 d0 6b e9 b6 f7 93 ee |..........k.....|
00000490 c8 49 00 00 00 00 00 00 00 00 00 00 d4 65 b1 e5 |.I...........e..|
000004a0 1b 67 b2 13 2f ae 00 00 00 00 00 00 00 00 00 00 |.g../...........|
000004b0 e4 86 54 98 98 71 2f e6 f8 ae 00 00 00 00 00 00 |..T..q/.........|
000004c0 00 00 00 00 d5 cc 73 d9 8f ee 73 20 bb f2 00 00 |......s...s ....|
000004d0 00 00 00 00 00 00 00 00 8a cb 2e 26 a6 62 bc f2 |...........&.b..|
000004e0 a8 36 00 00 00 00 00 00 00 00 00 00 9e 0c 2f 48 |.6............/H|
000004f0 28 99 3c 4a 07 4b 00 00 00 00 00 00 00 00 00 00 |(.<J.K..........|
00000500 34 98 2d 1c 6c 4b 10 38 20 31 00 00 00 00 00 00 |4.-.lK.8 1......|
00000510 00 00 00 00 8e 7f 69 3e 70 60 3c 3b 22 fa 00 00 |......i>p`<;"...|
00000520 00 00 00 00 00 00 00 00 8f d0 d6 4d 22 fb 65 15 |...........M".e.|
00000530 f9 47 00 00 00 00 00 00 00 00 00 00 9e 6e 2c 50 |.G...........n,P|
00000540 31 70 fa 49 31 1c 00 00 00 00 00 00 00 00 00 00 |1p.I1...........|
00000550 c2 70 21 62 e3 55 10 13 6c 9f 00 00 00 00 00 00 |.p!b.U..l.......|
00000560 00 00 00 00 52 b5 55 08 37 31 5e 0b 35 2f 00 00 |....R.U.71^.5/..|
00000570 00 00 00 00 00 00 00 00 47 2b 1d 37 70 cf 46 13 |........G+.7p.F.|
00000580 0c 9a 00 00 00 00 00 00 00 00 00 00 d8 68 a5 35 |.............h.5|
00000590 cf 9f 9c d8 d0 39 00 00 00 00 00 00 00 00 00 00 |.....9..........|
000005a0 3a 93 7e 8a 12 e9 81 e6 f8 de 00 00 00 00 00 00 |:.~.............|
000005b0 00 00 00 00 66 98 c8 72 ae e1 32 cf 69 d9 00 00 |....f..r..2.i...|
000005c0 00 00 00 00 00 00 00 00 c6 0d 55 cb 3e 3e c7 4c |..........U.>>.L|
000005d0 45 1d 00 00 00 00 00 00 00 00 00 00 e2 a6 04 b2 |E...............|
000005e0 23 50 7b d5 3c 4a 00 00 00 00 00 00 00 00 00 00 |#P{.<J..........|
000005f0 92 48 64 45 79 98 61 76 14 07 00 00 00 00 00 00 |.HdEy.av........|
00000600 00 00 00 00 9e 12 81 57 ff ad bf 14 51 ba 00 00 |.......W....Q...|
00000610 00 00 00 00 00 00 00 00 b3 61 9e 5e d3 3b 96 af |.........a.^.;..|
00000620 a6 ac 00 00 00 00 00 00 00 00 00 00 be 12 a2 78 |...............x|
00000630 e2 b8 0e 49 d8 0d 00 00 00 00 00 00 00 00 00 00 |...I............|
00000640 6f 74 48 88 d1 11 72 23 ea 54 00 00 00 00 00 00 |otH...r#.T......|
00000650 00 00 00 00 fc d2 01 2f 9e 89 3d 40 fc 9c 00 00 |......./..=@....|
00000660 00 00 00 00 00 00 00 00 27 80 c5 c1 d9 74 93 bb |........'....t..|
00000670 15 c4 00 00 00 00 00 00 00 00 00 00 d0 3b 9c a9 |.............;..|
00000680 e1 ae ca 37 7c c7 00 00 00 00 00 00 00 00 00 00 |...7|...........|
00000690 c4 5d d6 92 e0 74 4a 18 b6 a1 00 00 00 00 00 00 |.]...tJ.........|
000006a0 00 00 00 00 51 40 38 ea e2 9f e1 f7 da 78 00 00 |....Q@8......x..|
000006b0 00 00 00 00 00 00 00 00 05 a2 11 d9 c6 17 7e 8b |..............~.|
000006c0 08 ac 00 00 00 00 00 00 00 00 00 00 9e 18 61 e3 |..............a.|
000006d0 37 6b a1 fa 9e d1 00 00 00 00 00 00 00 00 00 00 |7k..............|
000006e0 36 2b 14 04 86 24 26 c6 93 3e 00 00 00 00 00 00 |6+...$&..>......|
000006f0 00 00 00 00 0c bc 6c d5 23 f8 1d b1 db 28 00 00 |......l.#....(..|
00000700 00 00 00 00 00 00 00 00 04 b8 84 ae aa f2 ed e2 |................|
00000710 65 ca 00 00 00 00 00 00 00 00 00 00 67 06 55 f4 |e...........g.U.|
00000720 aa 1f 3b 31 e5 08 00 00 00 00 00 00 00 00 00 00 |..;1............|
00000730 3f 91 ff 13 c2 61 55 9e d2 4e 00 00 00 00 00 00 |?....aU..N......|
00000740 00 00 00 00 51 d1 e6 be 9c 07 78 27 f3 0c 00 00 |....Q.....x'....|
00000750 00 00 00 00 00 00 00 00 bc 36 5f 30 f0 80 22 0a |.........6_0..".|
00000760 45 20 00 00 00 00 00 00 00 00 00 00 fe 63 4b 65 |E ...........cKe|
00000770 26 ab 87 6f fe 97 00 00 00 00 00 00 00 00 00 00 |&..o............|
00000780 f4 b0 52 87 47 a1 2c ac 2f 60 00 00 00 00 00 00 |..R.G.,./`......|
00000790 00 00 00 00 8e 5a 38 74 cb 96 15 98 38 d1 00 00 |.....Z8t....8...|
000007a0 00 00 00 00 00 00 00 00 2d 8b 02 1e e0 81 70 47 |........-.....pG|
000007b0 27 d1 00 00 00 00 00 00 00 00 00 00 7d 26 6c fb |'...........}&l.|
000007c0 4d e0 f5 85 0b 29 00 00 00 00 00 00 00 00 00 00 |M....)..........|
000007d0 44 c6 58 e9 60 e1 e6 3c 5d 66 00 00 00 00 00 00 |D.X.`..<]f......|
000007e0 00 00 00 00 78 83 a1 92 2a 7d 6d 49 af 41 00 00 |....x...*}mI.A..|
000007f0 00 00 00 00 00 00 00 00 f0 cd 08 bd d1 f5 27 37 |..............'7|
00000800 92 9e 00 00 00 00 00 00 00 00 00 00 d2 d6 9e 9b |................|
00000810 a0 8a 36 1d 73 fb 00 00 00 00 00 00 00 00 00 00 |..6.s...........|
00000820 3d 76 5b 47 c3 d6 ca a1 e0 47 00 00 00 00 00 00 |=v[G.....G......|
00000830 00 00 00 00 35 16 7b 1e 6f 50 0c 7c b1 3e 00 00 |....5.{.oP.|.>..|
00000840 00 00 00 00 00 00 00 00 41 70 2b 1b e9 80 8d 70 |........Ap+....p|
00000850 3b 1e 00 00 00 00 00 00 00 00 00 00 f5 64 7a 5a |;............dzZ|
00000860 2d e1 89 5d 56 2b 00 00 00 00 00 00 00 00 00 00 |-..]V+..........|
00000870 3c b9 3d 1d f7 03 e5 e8 aa 1a 00 00 00 00 00 00 |<.=.............|
00000880 00 00 00 00 bd 8b fa 79 75 24 99 7e 55 41 00 00 |.......yu$.~UA..|
00000890 00 00 00 00 00 00 00 00 71 ae 0a fa 33 e0 14 7b |........q...3..{|
000008a0 bb bd 00 00 00 00 00 00 00 00 00 00 bd 03 29 f5 |..............).|
000008b0 c7 9a c3 b0 c5 52 00 00 00 00 00 00 00 00 00 00 |.....R..........|
000008c0 07 3b 3d f1 a9 55 b6 36 36 ae 00 00 00 00 00 00 |.;=..U.66.......|
000008d0 00 00 00 00 94 83 b1 83 4a 3a 60 77 4f 12 00 00 |........J:`wO...|
000008e0 00 00 00 00 00 00 00 00 d6 1b 1d ff 59 df 00 82 |............Y...|
000008f0 01 3f 00 00 00 00 00 00 00 00 00 00 3a d9 97 e8 |.?..........:...|
00000900 f0 b4 ff 1f 04 e3 00 00 00 00 00 00 00 00 00 00 |................|
00000910 db 95 a8 4f a6 16 9f 7b ac 5e 00 00 00 00 00 00 |...O...{.^......|
00000920 00 00 00 00 fd 92 69 d0 72 03 d1 ad 58 59 00 00 |......i.r...XY..|
00000930 00 00 00 00 00 00 00 00 15 35 d1 75 19 61 1a 72 |.........5.u.a.r|
00000940 9c f5 00 00 00 00 00 00 00 00 00 00 96 e8 50 74 |..............Pt|
00000950 6c b1 2e f9 33 9f 00 00 00 00 00 00 00 00 00 00 |l...3...........|
00000960 f3 64 fc 7f ca f2 50 6e 45 a7 00 00 00 00 00 00 |.d....PnE.......|
00000970 00 00 00 00 87 81 01 69 f7 20 2b ff 78 81 00 00 |.......i. +.x...|
00000980 00 00 00 00 00 00 00 00 bc 31 4c e3 d0 17 7c ef |.........1L...|.|
00000990 37 c1 00 00 00 00 00 00 00 00 00 00 b4 a0 4c 9d |7.............L.|
000009a0 05 70 fe b2 17 72 00 00 00 00 00 00 00 00 00 00 |.p...r..........|
000009b0 63 76 e5 6d 97 9c 14 cb 49 82 00 00 00 00 00 00 |cv.m....I.......|
000009c0 00 00 00 00 7c 24 42 bf 50 62 07 1b e5 75 00 00 |....|$B.Pb...u..|
000009d0 00 00 00 00 00 00 00 00 33 aa c6 3b f9 0b 97 09 |........3..;....|
000009e0 fb 87 00 00 00 00 00 00 00 00 00 00 cb 01 37 fa |..............7.|
000009f0 85 86 21 2d 38 2e 00 00 00 00 00 00 00 00 00 00 |..!-8...........|
BRAM data (bank 2): 160 x 128 @ 0x00000080; 20480 bits = 2560 bytes
try 1:
00000000 90 df cc 33 88 36 09 60 ff 58 00 00 00 00 00 00 |...3.6.`.X......|
00000010 00 00 00 00 8c fd c7 ca 87 79 f4 ed 2b 59 00 00 |.........y..+Y..|
00000020 00 00 00 00 00 00 00 00 e9 43 bf 49 59 fe 67 c0 |.........C.IY.g.|
00000030 82 00 00 00 00 00 00 00 00 00 00 00 d9 f2 19 d3 |................|
00000040 c5 58 ed f8 f2 25 00 00 00 00 00 00 00 00 00 00 |.X...%..........|
00000050 9f cd 0d 77 e1 69 8e f1 b9 c9 00 00 00 00 00 00 |...w.i..........|
00000060 00 00 00 00 47 b8 b8 45 23 15 84 a2 35 cd 00 00 |....G..E#...5...|
00000070 00 00 00 00 00 00 00 00 0d e3 0d db 7c 64 9e 52 |............|d.R|
00000080 ec 59 00 00 00 00 00 00 00 00 00 00 58 3e b7 72 |.Y..........X>.r|
00000090 b6 12 a3 d1 cb b3 00 00 00 00 00 00 00 00 00 00 |................|
000000a0 38 13 1c 55 ea f3 0c 96 f6 82 00 00 00 00 00 00 |8..U............|
000000b0 00 00 00 00 f5 8b 46 57 d8 b8 0d cc c5 e9 00 00 |......FW........|
000000c0 00 00 00 00 00 00 00 00 35 ba b8 58 68 53 73 cb |........5..XhSs.|
000000d0 23 7a 00 00 00 00 00 00 00 00 00 00 53 0a b2 e6 |#z..........S...|
000000e0 33 76 ba 8c aa 2b 00 00 00 00 00 00 00 00 00 00 |3v...+..........|
000000f0 6a 6e 07 61 2a 8c f2 3d fa e8 00 00 00 00 00 00 |jn.a*..=........|
00000100 00 00 00 00 2b 14 0d 1a 5c 67 c3 0d 02 28 00 00 |....+...\g...(..|
00000110 00 00 00 00 00 00 00 00 48 14 21 fa d8 8f de ad |........H.!.....|
00000120 c7 a7 00 00 00 00 00 00 00 00 00 00 62 88 28 37 |............b.(7|
00000130 5f 0e 37 3c 68 ba 00 00 00 00 00 00 00 00 00 00 |_.7<h...........|
00000140 0f d4 b4 9c 60 81 23 e0 b4 7d 00 00 00 00 00 00 |....`.#..}......|
00000150 00 00 00 00 12 88 92 ef dd 56 13 03 55 a4 00 00 |.........V..U...|
00000160 00 00 00 00 00 00 00 00 38 64 5c 45 ad be ac ce |........8d\E....|
00000170 96 d2 00 00 00 00 00 00 00 00 00 00 b6 e3 a0 32 |...............2|
00000180 0b 32 e3 13 c0 15 00 00 00 00 00 00 00 00 00 00 |.2..............|
00000190 6e 25 e4 80 f7 32 86 69 f5 e0 00 00 00 00 00 00 |n%...2.i........|
000001a0 00 00 00 00 7e 75 01 f7 00 93 60 65 22 96 00 00 |....~u....`e"...|
000001b0 00 00 00 00 00 00 00 00 a7 75 62 81 b4 71 da 92 |.........ub..q..|
000001c0 93 fb 00 00 00 00 00 00 00 00 00 00 f6 30 f0 21 |.............0.!|
000001d0 65 88 71 e3 67 38 00 00 00 00 00 00 00 00 00 00 |e.q.g8..........|
000001e0 0c f0 a7 ba 90 40 d9 95 35 24 00 00 00 00 00 00 |.....@..5$......|
000001f0 00 00 00 00 9a 58 51 ec 83 5f e2 df 54 20 00 00 |.....XQ.._..T ..|
00000200 00 00 00 00 00 00 00 00 2a 94 36 b4 06 f6 93 12 |........*.6.....|
00000210 55 c5 00 00 00 00 00 00 00 00 00 00 a7 48 be 20 |U............H. |
00000220 f2 7f 4c 3a 26 de 00 00 00 00 00 00 00 00 00 00 |..L:&...........|
00000230 56 ff d5 c9 8e a2 5d 5e ab 43 00 00 00 00 00 00 |V.....]^.C......|
00000240 00 00 00 00 41 f7 50 64 2d 1a bc 29 a0 5b 00 00 |....A.Pd-..).[..|
00000250 00 00 00 00 00 00 00 00 89 d5 3b b5 70 a0 29 b5 |..........;.p.).|
00000260 41 99 00 00 00 00 00 00 00 00 00 00 ca 1d 48 0d |A.............H.|
00000270 f1 0b 1f a0 81 09 00 00 00 00 00 00 00 00 00 00 |................|
00000280 99 00 b2 fa 16 99 19 8c c2 f1 00 00 00 00 00 00 |................|
00000290 00 00 00 00 34 32 44 ef 66 35 62 0a 6b 98 00 00 |....42D.f5b.k...|
000002a0 00 00 00 00 00 00 00 00 be b5 61 5e 87 87 85 c0 |..........a^....|
000002b0 06 20 00 00 00 00 00 00 00 00 00 00 91 f1 42 63 |. ............Bc|
000002c0 fe b2 67 6f df 08 00 00 00 00 00 00 00 00 00 00 |..go............|
000002d0 56 da 25 30 bf ff 9f 68 ab ea 00 00 00 00 00 00 |V.%0...h........|
000002e0 00 00 00 00 9b c4 50 bd 11 71 5b b6 b0 50 00 00 |......P..q[..P..|
000002f0 00 00 00 00 00 00 00 00 ef 8e 1f 42 c0 ff d9 00 |...........B....|
00000300 e9 f8 00 00 00 00 00 00 00 00 00 00 3a d4 26 de |............:.&.|
00000310 2b ae f4 89 64 5a 00 00 00 00 00 00 00 00 00 00 |+...dZ..........|
00000320 ec 9f 15 b8 23 4e 6f 4b b7 a2 00 00 00 00 00 00 |....#NoK........|
00000330 00 00 00 00 95 08 57 20 f3 b5 26 44 0c e6 00 00 |......W ..&D....|
00000340 00 00 00 00 00 00 00 00 97 6f 7f c5 f0 48 eb ef |.........o...H..|
00000350 f5 77 00 00 00 00 00 00 00 00 00 00 f8 8b 6a 13 |.w............j.|
00000360 66 62 ae 11 b1 c0 00 00 00 00 00 00 00 00 00 00 |fb..............|
00000370 18 5b c7 6b 92 c1 89 53 0f 15 00 00 00 00 00 00 |.[.k...S........|
00000380 00 00 00 00 99 58 46 cd e2 e7 15 23 25 75 00 00 |.....XF....#%u..|
00000390 00 00 00 00 00 00 00 00 35 73 ae 03 94 c6 4f 71 |........5s....Oq|
000003a0 bb d6 00 00 00 00 00 00 00 00 00 00 30 41 9e db |............0A..|
000003b0 9a ab 8f cb 90 e2 00 00 00 00 00 00 00 00 00 00 |................|
000003c0 86 ea 22 50 7a 57 27 f7 f7 93 00 00 00 00 00 00 |.."PzW'.........|
000003d0 00 00 00 00 bf 70 48 45 ec 4b 9e 56 ae 0e 00 00 |.....pHE.K.V....|
000003e0 00 00 00 00 00 00 00 00 26 a1 6a 22 b1 cb 47 9a |........&.j"..G.|
000003f0 55 bc 00 00 00 00 00 00 00 00 00 00 f9 40 ba 11 |U............@..|
00000400 f9 33 ac ce fe 01 00 00 00 00 00 00 00 00 00 00 |.3..............|
00000410 5b 71 53 5b f6 a5 cc b7 6a 50 00 00 00 00 00 00 |[qS[....jP......|
00000420 00 00 00 00 1c 54 02 5f 2a 2f a4 91 c8 83 00 00 |.....T._*/......|
00000430 00 00 00 00 00 00 00 00 1f e1 00 5e da 25 dd f0 |...........^.%..|
00000440 ad 89 00 00 00 00 00 00 00 00 00 00 b1 44 09 08 |.............D..|
00000450 f4 e1 da af c7 36 00 00 00 00 00 00 00 00 00 00 |.....6..........|
00000460 0b 67 dd 17 1f 86 52 ea 3e 4b 00 00 00 00 00 00 |.g....R.>K......|
00000470 00 00 00 00 3a 87 d8 ef 1b b2 d0 8e 41 77 00 00 |....:.......Aw..|
00000480 00 00 00 00 00 00 00 00 00 76 3e b2 db 8d ec bd |.........v>.....|
00000490 08 ea 00 00 00 00 00 00 00 00 00 00 ea a5 eb 83 |................|
000004a0 d5 fa b6 76 a4 d4 00 00 00 00 00 00 00 00 00 00 |...v............|
000004b0 73 37 4e d6 fe 48 bb 5b bf 3a 00 00 00 00 00 00 |s7N..H.[.:......|
000004c0 00 00 00 00 e9 f0 4d 32 b7 f0 98 dd eb 63 00 00 |......M2.....c..|
000004d0 00 00 00 00 00 00 00 00 79 3e 19 eb c0 37 f4 36 |........y>...7.6|
000004e0 2d 11 00 00 00 00 00 00 00 00 00 00 a8 ab e9 56 |-..............V|
000004f0 25 b0 a1 e2 b9 90 00 00 00 00 00 00 00 00 00 00 |%...............|
00000500 7f 2a 09 26 25 e7 d9 18 38 a4 00 00 00 00 00 00 |.*.&%...8.......|
00000510 00 00 00 00 81 5a e6 22 7e 31 30 41 02 02 00 00 |.....Z."~10A....|
00000520 00 00 00 00 00 00 00 00 ac 94 89 ca 53 80 a0 34 |............S..4|
00000530 01 bc 00 00 00 00 00 00 00 00 00 00 0f 6c f7 d9 |.............l..|
00000540 f9 f6 f6 94 c0 f4 00 00 00 00 00 00 00 00 00 00 |................|
00000550 16 b3 85 0f 5e 61 19 d0 4d 7e 00 00 00 00 00 00 |....^a..M~......|
00000560 00 00 00 00 e0 c2 24 68 46 b9 84 3b 2d be 00 00 |......$hF..;-...|
00000570 00 00 00 00 00 00 00 00 99 1f 25 9c a7 3f a9 79 |..........%..?.y|
00000580 4c f5 00 00 00 00 00 00 00 00 00 00 d4 59 d8 87 |L............Y..|
00000590 58 b5 16 45 69 46 00 00 00 00 00 00 00 00 00 00 |X..EiF..........|
000005a0 cc ad 1b 81 bd 08 1a 41 d3 f5 00 00 00 00 00 00 |.......A........|
000005b0 00 00 00 00 a4 d2 3a 1e 70 93 7c 39 eb b3 00 00 |......:.p.|9....|
000005c0 00 00 00 00 00 00 00 00 7a 65 d3 f8 fb 59 70 ff |........ze...Yp.|
000005d0 7f 7b 00 00 00 00 00 00 00 00 00 00 3c 36 92 1d |.{..........<6..|
000005e0 40 29 1b ee 3a f6 00 00 00 00 00 00 00 00 00 00 |@)..:...........|
000005f0 19 b3 11 1a 2a 87 5d 64 e0 7a 00 00 00 00 00 00 |....*.]d.z......|
00000600 00 00 00 00 d2 07 a7 02 89 96 68 3d fb 1d 00 00 |..........h=....|
00000610 00 00 00 00 00 00 00 00 5f 40 34 4f 73 85 2b 90 |........_@4Os.+.|
00000620 e9 4f 00 00 00 00 00 00 00 00 00 00 cc 2f c6 f1 |.O.........../..|
00000630 3e 31 e9 13 26 18 00 00 00 00 00 00 00 00 00 00 |>1..&...........|
00000640 78 c1 3f 2e e8 6a 3c 5c 6d b0 00 00 00 00 00 00 |x.?..j<\m.......|
00000650 00 00 00 00 d1 9a 30 dc 92 b5 50 17 42 f8 00 00 |......0...P.B...|
00000660 00 00 00 00 00 00 00 00 2a d3 11 76 96 74 49 00 |........*..v.tI.|
00000670 d9 ad 00 00 00 00 00 00 00 00 00 00 ae 56 07 36 |.............V.6|
00000680 80 dd ef 02 26 ee 00 00 00 00 00 00 00 00 00 00 |....&...........|
00000690 b2 8b 76 83 1e 2c df 62 ed 1b 00 00 00 00 00 00 |..v..,.b........|
000006a0 00 00 00 00 58 ae 60 32 53 e0 8a 84 3f 7f 00 00 |....X.`2S...?...|
000006b0 00 00 00 00 00 00 00 00 9d 7a 45 c0 25 b4 63 2c |.........zE.%.c,|
000006c0 cd 3c 00 00 00 00 00 00 00 00 00 00 43 75 24 74 |.<..........Cu$t|
000006d0 fb 89 eb 17 eb 7d 00 00 00 00 00 00 00 00 00 00 |.....}..........|
000006e0 06 62 db b5 01 ef 22 fc 37 a3 00 00 00 00 00 00 |.b....".7.......|
000006f0 00 00 00 00 94 9b d5 3f e7 44 d3 75 15 d9 00 00 |.......?.D.u....|
00000700 00 00 00 00 00 00 00 00 c9 b1 83 0d 67 58 08 d0 |............gX..|
00000710 26 df 00 00 00 00 00 00 00 00 00 00 7a 0e dc 22 |&...........z.."|
00000720 d1 d6 ec 9a ea d8 00 00 00 00 00 00 00 00 00 00 |................|
00000730 2d ac 87 24 e1 de 03 e0 96 f6 00 00 00 00 00 00 |-..$............|
00000740 00 00 00 00 2a 78 72 af 7d f4 bd 23 47 6c 00 00 |....*xr.}..#Gl..|
00000750 00 00 00 00 00 00 00 00 82 5c 3b a7 bd b9 dc 25 |.........\;....%|
00000760 44 4c 00 00 00 00 00 00 00 00 00 00 73 01 76 38 |DL..........s.v8|
00000770 ca cb 52 40 29 4f 00 00 00 00 00 00 00 00 00 00 |..R@)O..........|
00000780 d5 fe 70 9f 5d 67 76 0a cf d7 00 00 00 00 00 00 |..p.]gv.........|
00000790 00 00 00 00 d8 37 0a 91 3e c2 59 2a a2 bb 00 00 |.....7..>.Y*....|
000007a0 00 00 00 00 00 00 00 00 c0 29 5d b5 f9 f4 2f 50 |.........)].../P|
000007b0 39 3b 00 00 00 00 00 00 00 00 00 00 b5 ad 06 07 |9;..............|
000007c0 57 17 0d b2 09 b7 00 00 00 00 00 00 00 00 00 00 |W...............|
000007d0 5e 01 fd 7d 83 25 b0 7e e4 13 00 00 00 00 00 00 |^..}.%.~........|
000007e0 00 00 00 00 79 d8 15 9d f4 b3 03 6f 84 f2 00 00 |....y......o....|
000007f0 00 00 00 00 00 00 00 00 93 4a 36 2f cc 2e d1 1a |.........J6/....|
00000800 b3 79 00 00 00 00 00 00 00 00 00 00 93 a7 e9 03 |.y..............|
00000810 3b 64 0c 38 66 ce 00 00 00 00 00 00 00 00 00 00 |;d.8f...........|
00000820 fa d8 dc a3 5e c1 78 a7 9d a7 00 00 00 00 00 00 |....^.x.........|
00000830 00 00 00 00 25 1f 2b 1b c8 22 4c a5 41 f2 00 00 |....%.+.."L.A...|
00000840 00 00 00 00 00 00 00 00 a5 7f ab 78 b3 44 c6 ca |...........x.D..|
00000850 79 11 00 00 00 00 00 00 00 00 00 00 cd 82 53 57 |y.............SW|
00000860 97 7b 18 3d 12 8b 00 00 00 00 00 00 00 00 00 00 |.{.=............|
00000870 2c 04 d7 70 fd af f0 06 78 85 00 00 00 00 00 00 |,..p....x.......|
00000880 00 00 00 00 51 15 83 be 2f 3e 5f bf 12 b7 00 00 |....Q.../>_.....|
00000890 00 00 00 00 00 00 00 00 c3 f1 d2 7c 90 9c c6 ac |...........|....|
000008a0 62 f3 00 00 00 00 00 00 00 00 00 00 3d 3a d4 cb |b...........=:..|
000008b0 ea 38 33 0e c1 20 00 00 00 00 00 00 00 00 00 00 |.83.. ..........|
000008c0 fc dd b7 d4 6a 03 89 e5 1d 66 00 00 00 00 00 00 |....j....f......|
000008d0 00 00 00 00 fc b6 1b eb 1a 8f a3 4c 0f dd 00 00 |...........L....|
000008e0 00 00 00 00 00 00 00 00 f2 d9 74 7c 77 30 7c 61 |..........t|w0|a|
000008f0 ed 20 00 00 00 00 00 00 00 00 00 00 9c 55 13 2c |. ...........U.,|
00000900 5c 48 78 73 0e 5d 00 00 00 00 00 00 00 00 00 00 |\Hxs.]..........|
00000910 f8 0c 14 87 b3 da c2 e3 90 a2 00 00 00 00 00 00 |................|
00000920 00 00 00 00 e3 6f a1 57 b8 fc d8 bd 4e 40 00 00 |.....o.W....N@..|
00000930 00 00 00 00 00 00 00 00 d8 ca 31 91 ab 30 36 2e |..........1..06.|
00000940 53 b5 00 00 00 00 00 00 00 00 00 00 d8 d3 89 f3 |S...............|
00000950 0b e6 00 28 34 93 00 00 00 00 00 00 00 00 00 00 |...(4...........|
00000960 97 ef f3 26 39 f2 49 87 4d d2 00 00 00 00 00 00 |...&9.I.M.......|
00000970 00 00 00 00 61 24 f0 40 d3 be 53 3e 84 1d 00 00 |....a$.@..S>....|
00000980 00 00 00 00 00 00 00 00 08 e9 dc 65 ca 72 95 11 |...........e.r..|
00000990 02 da 00 00 00 00 00 00 00 00 00 00 fc 65 32 99 |.............e2.|
000009a0 bd 68 97 b5 95 bc 00 00 00 00 00 00 00 00 00 00 |.h..............|
000009b0 ba e2 6c 56 23 eb 2b 10 d3 b1 00 00 00 00 00 00 |..lV#.+.........|
000009c0 00 00 00 00 71 8f 72 b5 c4 84 6f 45 f1 ab 00 00 |....q.r...oE....|
000009d0 00 00 00 00 00 00 00 00 40 2f b0 e6 b3 41 01 7f |........@/...A..|
000009e0 0e 6f 00 00 00 00 00 00 00 00 00 00 cc 6d f9 88 |.o...........m..|
000009f0 ae 55 c3 fd a4 a5 00 00 00 00 00 00 00 00 00 00 |.U..............|
BRAM data (bank 3): 80 x 128 @ 0x00000000; 10240 bits = 1280 bytes
try 1:
00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
BRAM data (bank 3): 80 x 128 @ 0x00000080; 10240 bits = 1280 bytes
try 1:
00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................|
crc check (0000 == 0000)

37
print-hex.c Normal file
View File

@ -0,0 +1,37 @@
#include <stdio.h>
#include <stdint.h>
#include <ctype.h>
int print_hex_offset(const void *block, int count, int offset, uint32_t start)
{
int byte;
const uint8_t *b = block;
count += offset;
b -= offset;
for ( ; offset < count; offset += 16) {
printf("%08x", start + offset);
for (byte = 0; byte < 16; byte++) {
if (byte == 8)
printf(" ");
printf(" ");
if (offset + byte < count)
printf("%02x", b[offset + byte] & 0xff);
else
printf(" ");
}
printf(" |");
for (byte = 0; byte < 16 && byte + offset < count; byte++)
printf("%c", isprint(b[offset + byte]) ?
b[offset + byte] :
'.');
printf("|\n");
}
return 0;
}
int print_hex(const void *block, int count, uint32_t start)
{
return print_hex_offset(block, count, 0, start);
}

BIN
rewrite-rom Normal file

Binary file not shown.

300
rewrite-rom.c Normal file
View File

@ -0,0 +1,300 @@
#include <stdint.h>
#include <stdio.h>
#include <fcntl.h>
#include <sys/types.h>
#include <unistd.h>
#include <string.h>
#include <stdlib.h>
#define MAX(x, y) (x) > (y) ? (x) : (y)
typedef struct irw_file
{
FILE *f;
uint16_t crc;
uint32_t offset;
} IRW_FILE;
int print_hex_offset(const void *block, int count, int offset, uint32_t start);
int print_hex(const void *block, int count, uint32_t start);
static void update_crc16(uint16_t *crc, uint8_t byte)
{
// CRC-16-CCITT, Initialize to 0xFFFF, No zero padding
for (int i = 7; i >= 0; i--)
{
uint16_t xor_value = ((*crc >> 15) ^ ((byte >> i) & 1)) ? 0x1021 : 0;
*crc = (*crc << 1) ^ xor_value;
}
}
static uint8_t reverse_u8(uint8_t b)
{
b = (b & 0xF0) >> 4 | (b & 0x0F) << 4;
b = (b & 0xCC) >> 2 | (b & 0x33) << 2;
b = (b & 0xAA) >> 1 | (b & 0x55) << 1;
return b;
}
struct irw_file *irw_open(const char *filename, const char *mode)
{
struct irw_file *f = malloc(sizeof(*f));
memset(f, 0, sizeof(*f));
f->f = fopen(filename, mode);
return f;
}
int irw_readb(struct irw_file *f)
{
int val = fgetc(f->f);
if (val == EOF)
return EOF;
update_crc16(&f->crc, val);
return val;
}
struct Ice40Bitstream
{
uint32_t offset;
uint32_t current_bank;
uint32_t current_width;
uint32_t current_height;
uint32_t current_offset;
uint32_t cram_width;
uint32_t cram_height;
uint32_t bram_width;
uint32_t bram_height;
uint16_t crc_value;
uint8_t warmboot;
uint8_t nosleep;
uint8_t frequency_range;
uint8_t bram_banks[4][2560 * 2];
};
struct Ice40Bitstream bs;
int ice40_find_header(struct irw_file *f)
{
uint32_t preamble = 0;
uint8_t wakeup = 0;
memset(&bs, 0, sizeof(bs));
while (1)
{
int b = irw_readb(f);
if (b == EOF)
break;
preamble = (preamble << 8) | b;
if (preamble == 0x7eaa997e)
{
// printf("found preamble at %d\n", bs.offset);
break;
}
}
while (!wakeup)
{
int b = irw_readb(f);
if (b == EOF)
{
// printf("reached end of file\n");
break;
}
uint8_t cmd = b >> 4;
uint8_t payload_len = b & 0xf;
uint32_t payload = 0;
uint8_t last0, last1;
int i;
for (i = 0; i < payload_len; i++)
{
payload = (payload << 8) | (irw_readb(f) & 0xff);
}
// printf("cmd: %02x payload: %02x payload_len: %d\n", cmd, payload, payload_len);
switch (cmd)
{
case 0:
switch (payload)
{
case 1:
printf("CRAM data (bank %d): %d x %d @ 0x%08x; %d bits = %d bytes\n",
bs.current_bank,
bs.current_width,
bs.current_height,
bs.current_offset,
bs.current_width * bs.current_height,
(bs.current_width * bs.current_height) / 8);
bs.cram_width = MAX(bs.cram_width, bs.current_width);
bs.cram_height = MAX(bs.cram_height, bs.current_height);
for (i = 0; i < ((bs.current_width * bs.current_height) / 8); i++)
{
(void)irw_readb(f);
}
last0 = irw_readb(f);
last1 = irw_readb(f);
if (last0 || last1)
{
printf("expected 0x0000 after CRAM data, got %02x %02x\n", last0, last1);
}
break;
case 3:
{
printf("BRAM data (bank %d): %d x %d @ 0x%08x; %d bits = %d bytes\n",
bs.current_bank,
bs.current_width,
bs.current_height,
bs.current_offset,
bs.current_width * bs.current_height,
(bs.current_width * bs.current_height) / 8);
bs.bram_width = MAX(bs.bram_width, bs.current_width);
bs.bram_height = MAX(bs.bram_height, bs.current_height);
uint8_t try_1[(bs.current_height * bs.current_width) / 8];
uint8_t try_2[(bs.current_height * bs.current_width) / 8];
uint8_t try_3[(bs.current_height * bs.current_width) / 8];
uint8_t try_4[(bs.current_height * bs.current_width) / 8];
memset(try_1, 0, sizeof(try_1));
memset(try_2, 0, sizeof(try_2));
memset(try_3, 0, sizeof(try_3));
memset(try_4, 0, sizeof(try_4));
for (i = 0; i < ((bs.current_width * bs.current_height) / 8); i++)
{
uint8_t byte = irw_readb(f);
// int j;
// for (j = 0; j < 8; j++)
// {
// int x = (i * 8 + j) % bs.current_width;
// int y = (i * 8 + j) / bs.current_width;// + bs.current_offset;
// printf("x: %d y: %d\n", x, y);
// try_2[(x * bs.current_width + y) / 8] |= (1 << j);
// }
try_1[i] = byte;
// try_3[i] = reverse_u8(byte);
// try_4[i] = byte;
}
// for (i = 0; i < sizeof(try_4); i += 2)
// {
// uint8_t t = try_4[i];
// try_4[i] = try_4[i + 1];
// try_4[i + 1] = t;
// }
printf("try 1:\n");
print_hex(try_1, sizeof(try_1), 0);
// printf("try 2:\n");
// print_hex(try_2, sizeof(try_2), 0);
// printf("try 3:\n");
// print_hex(try_3, sizeof(try_3), 0);
// printf("try 4:\n");
// print_hex(try_4, sizeof(try_4), 0);
last0 = irw_readb(f);
last1 = irw_readb(f);
if (last0 || last1)
{
printf("expected 0x0000 after BRAM data, got %02x %02x\n", last0, last1);
}
break;
}
case 5:
// printf("resetting crc\n");
f->crc = 0xffff;
break;
case 6:
// printf("wakeup\n");
wakeup = 1;
break;
default:
printf("unrecognized command 0x%02x 0x%02x\n", cmd, payload);
break;
}
break;
case 1:
bs.current_bank = payload;
// printf("setting bank number to %d\n", bs.current_bank);
break;
case 2:
printf("crc check (%04x == %04x)\n", f->crc, 0);
break;
case 5:
switch (payload)
{
case 0:
bs.frequency_range = 0;
break;
case 1:
bs.frequency_range = 1;
break;
case 2:
bs.frequency_range = 2;
break;
default:
printf("unknown frequency range payload: %02x\n", payload);
break;
}
break;
case 6:
bs.current_width = payload + 1;
// printf("setting bank width to %d\n", bs.current_width);
break;
case 7:
bs.current_height = payload;
// printf("setting bank height to %d\n", bs.current_height);
break;
case 8:
bs.current_offset = payload;
// printf("setting bank offset to %d\n", bs.current_offset);
break;
case 9:
switch (payload)
{
case 0:
bs.warmboot = 0;
bs.nosleep = 0;
break;
case 1:
bs.warmboot = 0;
bs.nosleep = 1;
break;
case 32:
bs.warmboot = 1;
bs.nosleep = 0;
break;
case 33:
bs.warmboot = 1;
bs.nosleep = 1;
break;
default:
printf("unrecognized feature flags: %02x\n", payload);
break;
}
break;
default:
printf("unrecognized command: %02x\n", cmd);
break;
}
}
// printf("read %d bytes\n", bs.offset);
return 0;
}
int main(int argc, char **argv)
{
struct irw_file *f = irw_open("memtest/memtest.bin", "r");
if (!f)
{
perror("couldn't open top.bin");
return 1;
}
ice40_find_header(f);
return 0;
}

BIN
samerand Normal file

Binary file not shown.

26
samerand.c Normal file
View File

@ -0,0 +1,26 @@
#include <stdio.h>
#include <stdint.h>
uint32_t polynomial = 0x04C11DB7;
static uint32_t rand_step(uint32_t input) {
int i;
uint32_t output = input + 1;
for (i = 0; i < 32; i++) {
if (output & 0x80000000)
output ^= polynomial;
output = output << 1;
}
return output;
}
int main(int argc, char **argv) {
int i;
uint32_t init = 0;
for (i = 0; i < 256; i++) {
init = rand_step(init);
printf("%08x\n", init);
}
return 0;
}

19
samerand.py Normal file
View File

@ -0,0 +1,19 @@
#!/usr/bin/env python3
# World's worst random number generator
def rand_step(inp):
polynomial = 0x04C11DB7
output = inp + 1
for i in range(32):
if output & 0x80000000:
output ^= polynomial
output = (output << 1) & 0xffffffff
return output & 0xffffffff
def main():
init = 0
for i in range(20):
init = rand_step(init)
print("{:08x}".format(init))
main()

BIN
top.bin Normal file

Binary file not shown.

14589
top.txt Normal file

File diff suppressed because it is too large Load Diff

BIN
xform Normal file

Binary file not shown.

153
xform.c Normal file
View File

@ -0,0 +1,153 @@
#include <stdio.h>
#include <stdint.h>
// bit 0 = input[1792] & (1 << 31)
// bit 1 = input[1792] & (1 << 30)
// bit 2 = input[1536] & (1 << 31)
// bit 3 = input[1536] & (1 << 30)
// bit 4 = input[1280] & (1 << 31)
// bit 5 = input[1280] & (1 << 30)
// bit 6 = input[1024] & (1 << 31)
// bit 7 = input[1024] & (1 << 30)
// bit 8 = input[768] & (1 << 31)
// bit 9 = input[768] & (1 << 30)
// bit 10 = input[512] & (1 << 31)
// bit 11 = input[512] & (1 << 30)
// bit 12 = input[256] & (1 << 31)
// bit 13 = input[256] & (1 << 30)
// bit 14 = input[0] & (1 << 31)
// bit 15 = input[0] & (1 << 30)
uint32_t polynomial = 0x04C11DB7;
static uint32_t rand_step(uint32_t input)
{
int i;
uint32_t output = input + 1;
for (i = 0; i < 32; i++)
{
if (output & 0x80000000)
output ^= polynomial;
output = output << 1;
}
return output;
}
static uint8_t get_bit(uint32_t *field, int offset)
{
// printf("offset&31: %d\n", offset & 31);
// printf("offset/sizeof(*field): %d\n", offset >> 5);
return !!(field[offset >> 5] & (1 << (offset & 31)));
}
static uint8_t set_bit(uint32_t *field, int offset)
{
field[offset >> 5] |= (1 << (offset & 31));
}
static uint8_t clear_bit(uint32_t *field, int offset)
{
field[offset >> 5] &= ~(1 << (offset & 31));
}
static uint8_t reverse_u8(uint8_t b)
{
b = (b & 0xF0) >> 4 | (b & 0x0F) << 4;
b = (b & 0xCC) >> 2 | (b & 0x33) << 2;
b = (b & 0xAA) >> 1 | (b & 0x55) << 1;
return b;
}
static uint16_t reverse_u16(uint16_t nonreversed)
{
uint16_t reversed = 0;
for (uint16_t i = 0; i < 16; i++)
{
reversed |= (nonreversed >> (16 - i - 1) & 1) << i;
}
return reversed;
}
int main(int argc, char **argv)
{
int i;
// uint32_t test_1[] = {1};
// uint32_t test_2[] = {0, 1};
// uint32_t test_3[] = {2, 0};
// uint32_t test_4[] = {1, 0x10, 0, 8};
// for (i = 0; i < sizeof(test_1)*8; i++) {
// if (get_bit(test_1, i))
// printf("test_1: bit %d set\n", i);
// }
// for (i = 0; i < sizeof(test_2)*8; i++) {
// if (get_bit(test_2, i))
// printf("test_2: bit %d set\n", i);
// }
// for (i = 0; i < sizeof(test_3)*8; i++) {
// if (get_bit(test_3, i))
// printf("test_3: bit %d set\n", i);
// }
// for (i = 0; i < sizeof(test_4)*8; i++) {
// if (get_bit(test_4, i))
// printf("test_4: bit %d set\n", i);
// }
uint32_t input[256];
uint32_t output[256] = {};
uint32_t init = 0;
for (i = 0; i < sizeof(input) / 4; i++)
{
init = rand_step(init);
input[i] = init;
}
// print_hex(input, sizeof(input), 0);
// return;
uint16_t *o16 = (uint16_t *)output;
uint8_t *i8 = (uint8_t *)input;
for (i = 0; i < sizeof(input) / 2; i++)
{
int j;
for (j = 0; j < 16; j++)
{
// printf("o16[%d] |= (!!(i8[1792+%d] & (1 << %d)) << 0;\n", i, i, 2*(15-j)+1);
o16[i] |= (!!(i8[1792+i] & (1 << 2*(15-j)+1))) << 0;
o16[i] |= (!!(i8[1792+i] & (1 << 2*(15-j)+0))) << 1;
o16[i] |= (!!(i8[1536+i] & (1 << 2*(15-j)+1))) << 2;
o16[i] |= (!!(i8[1536+i] & (1 << 2*(15-j)+0))) << 3;
o16[i] |= (!!(i8[1280+i] & (1 << 2*(15-j)+1))) << 4;
o16[i] |= (!!(i8[1280+i] & (1 << 2*(15-j)+0))) << 5;
o16[i] |= (!!(i8[1024+i] & (1 << 2*(15-j)+1))) << 6;
o16[i] |= (!!(i8[1024+i] & (1 << 2*(15-j)+0))) << 7;
o16[i] |= (!!(i8[768+i] & (1 << 2*(15-j)+1))) << 8;
o16[i] |= (!!(i8[768+i] & (1 << 2*(15-j)+0))) << 9;
o16[i] |= (!!(i8[512+i] & (1 << 2*(15-j)+1))) << 10;
o16[i] |= (!!(i8[512+i] & (1 << 2*(15-j)+0))) << 11;
o16[i] |= (!!(i8[256+i] & (1 << 2*(15-j)+1))) << 12;
o16[i] |= (!!(i8[256+i] & (1 << 2*(15-j)+0))) << 13;
o16[i] |= (!!(i8[0+i] & (1 << 2*(15-j)+1))) << 14;
o16[i] |= (!!(i8[0+i] & (1 << 2*(15-j)+0))) << 15;
// o16[0] = i
// if (get_bit(input, i * 16 + j))
// set_bit(output, j * 256 + i);
// else
// clear_bit(output, j * 256 + i);
}
}
// uint8_t *o8 = (uint8_t *)output;
// for (i = 0; i < sizeof(output)/4; i++)
// o8[i] = reverse_u8(o8[i]);
// for (i = 0; i < sizeof(output)/4; i++)
// o16[i] = reverse_u16(o16[i]);
print_hex(output, sizeof(output), 0);
// for (i = 0; i < 2047; i++)
// printf("00000000\n");
return 0;
}