pcb: add our own copies of 3d models and footprints

The Kicad default footprints seem volatile and unreliable.  Going
between two machines that both have "Kicad 5.0.0" installed results in
incompatibilities because KiCad has renamed their footprint libraries.

Also, for some reason it's going to Github to get footprints instead of
using local copies.

Copy every model and footprint we use into a local tomu-fpga.pretty.
This lets us ensure we can work offline, and also allows us to modify
footprints, e.g. by adding a "Pin 1" marker.

Signed-off-by: Sean Cross <sean@xobs.io>
This commit is contained in:
Sean Cross 2018-10-31 16:01:10 +08:00
parent 3a14554c30
commit f3315b58d8
59 changed files with 52023 additions and 3 deletions

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,193 @@
#VRML V2.0 utf8
#kicad StepUp wrl exported
Shape {
appearance Appearance {material DEF PIN-01 Material {
ambientIntensity 0.271
diffuseColor 0.824 0.82 0.781
specularColor 0.328 0.258 0.172
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.7
}
}
}
Shape {
appearance Appearance {material DEF CAP-CERAMIC-06 Material {
ambientIntensity 0.453
diffuseColor 0.379 0.27 0.215
specularColor 0.223 0.223 0.223
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.15
}
}
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.059 -0.059 0.010,0.118 -0.059 0.010,0.118 -0.059 0.108,0.059 -0.059 0.108]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,5,-1,3,5,6,-1,7,8,1,-1,7,1,0,-1,9,10,11,-1,9,11,12,-1,9,12,13,-1,14,6,15,-1,16,8,7,-1,14,3,6,-1,17,7,18,-1,19,14,15,-1,20,7,17,-1,21,9,22,-1,23,24,16,-1,23,25,24,-1,23,16,7,-1,26,27,19,-1,26,15,28,-1,29,20,30,-1,26,19,15,-1,31,20,29,-1,32,33,34,-1,32,34,10,-1,35,20,31,-1,32,10,9,-1,35,36,23,-1,32,9,21,-1,35,7,20,-1,32,21,37,-1,35,23,7,-1,32,37,38,-1,32,31,33,-1,32,35,31,-1,39,40,26,-1,39,28,35,-1,39,26,28,-1,41,32,42,-1,41,39,35,-1,41,35,32,-1,43,44,28,-1,45,42,46,-1,34,33,47,-1,34,47,48,-1,49,41,42,-1,49,42,45,-1,50,43,28,-1,50,51,43,-1,52,53,41,-1,52,54,53,-1,52,55,54,-1,56,41,49,-1,56,52,41,-1,10,34,57,-1,10,57,58,-1,15,59,50,-1,15,50,28,-1,60,61,52,-1,60,62,61,-1,60,56,63,-1,60,52,56,-1,64,60,63,-1,64,63,65,-1,66,60,64,-1,11,10,67,-1]
coord Coordinate { point [0.118 0.057 0.004,0.118 0.055 0.002,0.118 0.056 0.003,0.118 -0.057 0.004,0.118 -0.057 0.004,0.118 -0.056 0.003,0.118 -0.055 0.002,0.118 0.057 0.004,0.118 0.055 0.002,0.118 0.054 0.117,0.118 0.057 0.114,0.118 0.056 0.115,0.118 0.055 0.116,0.118 0.055 0.116,0.118 -0.058 0.005,0.118 -0.055 0.002,0.118 0.054 0.001,0.118 0.058 0.006,0.118 0.058 0.005,0.118 -0.058 0.006,0.118 0.059 0.007,0.118 0.052 0.118,0.118 0.053 0.117,0.118 0.051 0.000,0.118 0.053 0.001,0.118 0.052 0.000,0.118 -0.059 0.008,0.118 -0.059 0.007,0.118 -0.049 0.000,0.118 0.059 0.009,0.118 0.059 0.008,0.118 0.059 0.010,0.118 0.049 0.118,0.118 0.059 0.108,0.118 0.059 0.111,0.118 0.049 0.000,0.118 0.050 0.000,0.118 0.051 0.118,0.118 0.050 0.118,0.118 -0.059 0.010,0.118 -0.059 0.009,0.118 -0.059 0.108,0.118 -0.049 0.118,0.118 -0.051 0.000,0.118 -0.050 0.000,0.118 -0.051 0.118,0.118 -0.050 0.118,0.118 0.059 0.109,0.118 0.059 0.110,0.118 -0.052 0.118,0.118 -0.053 0.001,0.118 -0.052 0.000,0.118 -0.058 0.112,0.118 -0.059 0.109,0.118 -0.059 0.110,0.118 -0.059 0.111,0.118 -0.053 0.117,0.118 0.058 0.112,0.118 0.058 0.113,0.118 -0.054 0.001,0.118 -0.057 0.115,0.118 -0.058 0.113,0.118 -0.057 0.114,0.118 -0.054 0.117,0.118 -0.055 0.116,0.118 -0.055 0.116,0.118 -0.056 0.115,0.118 0.057 0.115]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,2,5,-1,4,3,2,-1,6,5,7,-1,6,7,8,-1,6,4,5,-1,9,8,10,-1,9,6,8,-1,11,9,10,-1,12,10,13,-1,12,13,14,-1,12,11,10,-1,15,14,16,-1,15,12,14,-1,17,16,18,-1,17,15,16,-1,19,18,20,-1,19,17,18,-1,21,20,22,-1,21,19,20,-1,23,22,24,-1,23,21,22,-1,25,24,26,-1,25,23,24,-1,27,26,28,-1,27,25,26,-1,29,27,28,-1,30,28,31,-1,30,31,32,-1,30,29,28,-1,33,30,32,-1]
coord Coordinate { point [0.118 -0.059 0.010,0.059 -0.059 0.010,0.059 -0.059 0.009,0.118 -0.059 0.009,0.118 -0.059 0.008,0.059 -0.059 0.008,0.118 -0.059 0.007,0.059 -0.059 0.007,0.059 -0.058 0.006,0.118 -0.058 0.006,0.059 -0.058 0.005,0.118 -0.058 0.005,0.118 -0.057 0.004,0.059 -0.057 0.004,0.059 -0.057 0.004,0.118 -0.057 0.004,0.059 -0.056 0.003,0.118 -0.056 0.003,0.059 -0.055 0.002,0.118 -0.055 0.002,0.059 -0.055 0.002,0.118 -0.055 0.002,0.059 -0.054 0.001,0.118 -0.054 0.001,0.059 -0.053 0.001,0.118 -0.053 0.001,0.059 -0.052 0.000,0.118 -0.052 0.000,0.059 -0.051 0.000,0.118 -0.051 0.000,0.118 -0.050 0.000,0.059 -0.050 0.000,0.059 -0.049 0.000,0.118 -0.049 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,5,-1,4,6,5,-1,7,3,8,-1,3,5,8,-1,9,7,10,-1,7,8,10,-1,11,9,12,-1,13,11,12,-1,9,10,12,-1,14,13,15,-1,13,12,15,-1,16,14,17,-1,14,15,17,-1,18,16,19,-1,16,17,19,-1,20,18,21,-1,18,19,21,-1,22,20,23,-1,20,21,23,-1,24,22,25,-1,22,23,25,-1,26,24,27,-1,24,25,27,-1,26,27,28,-1,29,26,30,-1,31,29,30,-1,26,28,30,-1,31,30,32,-1,33,31,1,-1,0,33,1,-1,31,32,1,-1]
coord Coordinate { point [0.059 -0.049 0.118,0.118 -0.050 0.118,0.118 -0.049 0.118,0.059 -0.059 0.109,0.059 -0.059 0.108,0.118 -0.059 0.109,0.118 -0.059 0.108,0.059 -0.059 0.110,0.118 -0.059 0.110,0.059 -0.059 0.111,0.118 -0.059 0.111,0.059 -0.058 0.112,0.118 -0.058 0.112,0.059 -0.058 0.113,0.059 -0.057 0.114,0.118 -0.058 0.113,0.059 -0.057 0.115,0.118 -0.057 0.114,0.059 -0.056 0.115,0.118 -0.057 0.115,0.059 -0.055 0.116,0.118 -0.056 0.115,0.059 -0.055 0.116,0.118 -0.055 0.116,0.059 -0.054 0.117,0.118 -0.055 0.116,0.059 -0.053 0.117,0.118 -0.054 0.117,0.118 -0.053 0.117,0.059 -0.052 0.118,0.118 -0.052 0.118,0.059 -0.051 0.118,0.118 -0.051 0.118,0.059 -0.050 0.118]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,3,4,5,-1,2,3,5,-1,6,0,7,-1,0,2,7,-1,4,8,9,-1,8,10,9,-1,5,4,9,-1,11,12,13,-1,12,6,13,-1,6,7,13,-1,14,11,15,-1,11,13,15,-1,10,16,17,-1,9,10,17,-1,18,14,19,-1,14,15,19,-1,16,20,21,-1,17,16,21,-1,22,23,24,-1,23,18,24,-1,18,19,24,-1,21,20,25,-1,20,26,25,-1,26,27,25,-1,22,24,28,-1,25,27,29,-1,22,28,30,-1,29,27,31,-1,22,30,32,-1,31,27,33,-1,33,27,34,-1,22,32,35,-1,22,35,36,-1,34,27,37,-1,22,36,38,-1,38,36,39,-1,37,27,40,-1,40,27,41,-1,38,39,42,-1,40,41,43,-1,38,42,44,-1,43,41,45,-1,38,44,46,-1,45,41,47,-1,38,46,48,-1,47,41,49,-1,50,38,51,-1,52,50,51,-1,38,48,51,-1,53,54,55,-1,41,53,55,-1,49,41,55,-1,52,51,56,-1,57,52,56,-1,54,58,59,-1,55,54,59,-1,60,57,61,-1,57,56,61,-1,58,62,63,-1,59,58,63,-1,64,60,65,-1,66,64,65,-1,60,61,65,-1,67,68,69,-1,62,67,69,-1,63,62,69,-1,70,66,71,-1,66,65,71,-1,68,72,73,-1,69,68,73,-1,70,71,74,-1,70,74,75,-1,73,72,76,-1,76,72,77,-1,75,74,78,-1,74,79,78,-1,76,77,80,-1,81,76,80,-1,78,79,82,-1,79,83,82,-1,81,80,84,-1,85,81,84,-1,82,83,86,-1,85,84,87,-1,83,88,89,-1,86,83,89,-1,85,87,90,-1,91,85,90,-1,88,92,93,-1,89,88,93,-1,91,90,94,-1,95,91,94,-1,93,92,96,-1,92,97,96,-1,95,94,98,-1,99,95,98,-1,96,97,100,-1,99,98,101,-1,97,102,103,-1,102,104,103,-1,104,105,103,-1,105,106,103,-1,100,97,103,-1,103,106,107,-1,99,101,108,-1,109,110,108,-1,110,111,108,-1,111,112,108,-1,112,113,108,-1,113,99,108,-1,107,114,115,-1,116,117,115,-1,118,116,115,-1,119,118,115,-1,120,119,115,-1,114,120,115,-1,103,107,115,-1,121,122,123,-1,124,121,123,-1,125,124,123,-1,126,125,123,-1,127,126,123,-1,122,109,123,-1,109,108,123,-1,127,123,128,-1,115,117,129,-1,129,117,130,-1,117,131,130,-1,132,127,133,-1,127,128,133,-1,130,131,134,-1,131,135,134,-1,136,132,137,-1,132,133,137,-1,136,137,138,-1,139,136,138,-1,134,135,140,-1,135,141,140,-1,139,138,142,-1,140,141,143,-1,144,139,145,-1,139,142,145,-1,141,146,147,-1,143,141,147,-1,148,144,149,-1,144,145,149,-1,147,146,150,-1,146,148,150,-1,150,148,151,-1,148,149,151,-1]
coord Coordinate { point [0.059 0.057 0.004,0.059 0.056 0.003,0.059 0.052 0.007,0.059 0.055 0.002,0.059 0.055 0.002,0.059 0.052 0.006,0.059 0.057 0.004,0.059 0.053 0.007,0.059 0.054 0.001,0.059 0.051 0.006,0.059 0.053 0.001,0.059 0.058 0.006,0.059 0.058 0.005,0.059 0.053 0.008,0.059 0.059 0.007,0.059 0.054 0.009,0.059 0.052 0.000,0.059 0.050 0.005,0.059 0.059 0.008,0.059 0.054 0.009,0.059 0.051 0.000,0.059 0.050 0.005,0.059 0.059 0.010,0.059 0.059 0.009,0.059 0.054 0.010,0.059 0.049 0.005,0.059 0.050 0.000,0.059 0.049 0.000,0.059 0.055 0.011,0.059 0.048 0.004,0.059 0.055 0.011,0.059 0.048 0.004,0.059 0.055 0.012,0.059 0.047 0.004,0.059 0.046 0.004,0.059 0.055 0.013,0.059 0.055 0.014,0.059 0.045 0.004,0.059 0.059 0.108,0.059 0.055 0.104,0.059 -0.045 0.004,0.059 -0.049 0.000,0.059 0.055 0.105,0.059 -0.046 0.004,0.059 0.055 0.106,0.059 -0.047 0.004,0.059 0.055 0.107,0.059 -0.048 0.004,0.059 0.055 0.107,0.059 -0.048 0.004,0.059 0.059 0.109,0.059 0.054 0.108,0.059 0.059 0.110,0.059 -0.050 0.000,0.059 -0.051 0.000,0.059 -0.049 0.005,0.059 0.054 0.109,0.059 0.059 0.111,0.059 -0.052 0.000,0.059 -0.050 0.005,0.059 0.058 0.112,0.059 0.054 0.109,0.059 -0.053 0.001,0.059 -0.050 0.005,0.059 0.058 0.113,0.059 0.053 0.110,0.059 0.057 0.114,0.059 -0.054 0.001,0.059 -0.055 0.002,0.059 -0.051 0.006,0.059 0.057 0.115,0.059 0.053 0.111,0.059 -0.055 0.002,0.059 -0.052 0.006,0.059 0.052 0.111,0.059 0.056 0.115,0.059 -0.052 0.007,0.059 -0.056 0.003,0.059 0.055 0.116,0.059 0.052 0.112,0.059 -0.057 0.004,0.059 -0.053 0.007,0.059 0.055 0.116,0.059 0.051 0.112,0.059 -0.057 0.004,0.059 -0.053 0.008,0.059 0.054 0.117,0.059 -0.058 0.005,0.059 0.050 0.113,0.059 0.053 0.117,0.059 -0.058 0.006,0.059 -0.054 0.009,0.059 0.050 0.113,0.059 0.052 0.118,0.059 -0.059 0.007,0.059 -0.054 0.009,0.059 0.051 0.118,0.059 0.049 0.113,0.059 -0.059 0.008,0.059 -0.054 0.010,0.059 0.050 0.118,0.059 -0.059 0.009,0.059 0.048 0.114,0.059 0.049 0.118,0.059 0.048 0.114,0.059 0.047 0.114,0.059 0.046 0.114,0.059 0.045 0.114,0.059 -0.059 0.010,0.059 -0.055 0.014,0.059 -0.055 0.013,0.059 -0.055 0.012,0.059 -0.055 0.011,0.059 -0.055 0.011,0.059 -0.045 0.114,0.059 -0.049 0.118,0.059 -0.048 0.114,0.059 -0.049 0.113,0.059 -0.048 0.114,0.059 -0.047 0.114,0.059 -0.046 0.114,0.059 -0.055 0.105,0.059 -0.055 0.104,0.059 -0.059 0.108,0.059 -0.055 0.106,0.059 -0.055 0.107,0.059 -0.055 0.107,0.059 -0.054 0.108,0.059 -0.059 0.109,0.059 -0.050 0.118,0.059 -0.051 0.118,0.059 -0.050 0.113,0.059 -0.054 0.109,0.059 -0.059 0.110,0.059 -0.052 0.118,0.059 -0.050 0.113,0.059 -0.054 0.109,0.059 -0.059 0.111,0.059 -0.058 0.112,0.059 -0.053 0.110,0.059 -0.053 0.117,0.059 -0.051 0.112,0.059 -0.058 0.113,0.059 -0.054 0.117,0.059 -0.053 0.111,0.059 -0.057 0.114,0.059 -0.052 0.112,0.059 -0.055 0.116,0.059 -0.052 0.111,0.059 -0.057 0.115,0.059 -0.055 0.116,0.059 -0.056 0.115]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.059 -0.049 0.000,0.059 0.049 0.000,0.118 0.049 0.000,0.118 -0.049 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.118 0.049 0.118,0.059 0.049 0.118,0.059 -0.049 0.118,0.118 -0.049 0.118]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,0,5,-1,0,3,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,9,8,10,-1,8,7,10,-1,9,10,11,-1,12,9,13,-1,14,12,13,-1,9,11,13,-1,15,14,16,-1,14,13,16,-1,17,15,18,-1,15,16,18,-1,19,17,20,-1,17,18,20,-1,21,19,22,-1,19,20,22,-1,23,21,24,-1,21,22,24,-1,25,23,26,-1,23,24,26,-1,27,25,28,-1,25,26,28,-1,27,28,29,-1,30,27,31,-1,32,30,31,-1,27,29,31,-1,32,31,33,-1]
coord Coordinate { point [0.059 0.059 0.009,0.059 0.059 0.010,0.118 0.059 0.010,0.118 0.059 0.009,0.059 0.059 0.008,0.118 0.059 0.008,0.059 0.059 0.007,0.118 0.059 0.007,0.059 0.058 0.006,0.059 0.058 0.005,0.118 0.058 0.006,0.118 0.058 0.005,0.059 0.057 0.004,0.118 0.057 0.004,0.059 0.057 0.004,0.059 0.056 0.003,0.118 0.057 0.004,0.059 0.055 0.002,0.118 0.056 0.003,0.059 0.055 0.002,0.118 0.055 0.002,0.059 0.054 0.001,0.118 0.055 0.002,0.059 0.053 0.001,0.118 0.054 0.001,0.059 0.052 0.000,0.118 0.053 0.001,0.059 0.051 0.000,0.118 0.052 0.000,0.118 0.051 0.000,0.059 0.050 0.000,0.118 0.050 0.000,0.059 0.049 0.000,0.118 0.049 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,5,-1,3,6,4,-1,7,5,8,-1,7,3,5,-1,9,8,10,-1,9,7,8,-1,11,10,12,-1,11,12,13,-1,11,9,10,-1,14,13,15,-1,14,11,13,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,20,21,-1,24,23,25,-1,24,22,23,-1,26,25,27,-1,26,24,25,-1,28,26,27,-1,29,27,30,-1,29,30,31,-1,29,28,27,-1,32,29,31,-1,1,31,33,-1,1,33,2,-1,1,32,31,-1]
coord Coordinate { point [0.118 0.049 0.118,0.118 0.050 0.118,0.059 0.049 0.118,0.118 0.059 0.109,0.059 0.059 0.108,0.059 0.059 0.109,0.118 0.059 0.108,0.118 0.059 0.110,0.059 0.059 0.110,0.118 0.059 0.111,0.059 0.059 0.111,0.118 0.058 0.112,0.059 0.058 0.112,0.059 0.058 0.113,0.118 0.058 0.113,0.059 0.057 0.114,0.118 0.057 0.114,0.059 0.057 0.115,0.118 0.057 0.115,0.059 0.056 0.115,0.118 0.056 0.115,0.059 0.055 0.116,0.118 0.055 0.116,0.059 0.055 0.116,0.118 0.055 0.116,0.059 0.054 0.117,0.118 0.054 0.117,0.059 0.053 0.117,0.118 0.053 0.117,0.118 0.052 0.118,0.059 0.052 0.118,0.059 0.051 0.118,0.118 0.051 0.118,0.059 0.050 0.118]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.118 0.059 0.108,0.118 0.059 0.010,0.059 0.059 0.010,0.059 0.059 0.108]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,0,2,-1,4,2,5,-1,4,5,6,-1,7,4,6,-1,8,7,6,-1,8,6,9,-1,10,11,12,-1,13,9,14,-1,10,15,11,-1,13,8,9,-1,16,13,14,-1,16,14,17,-1,18,12,19,-1,16,17,20,-1,21,16,20,-1,18,10,12,-1,22,20,23,-1,22,21,20,-1,24,19,25,-1,26,23,27,-1,26,22,23,-1,24,18,19,-1,28,25,29,-1,28,24,25,-1,30,29,31,-1,30,28,29,-1,32,31,33,-1,32,30,31,-1,34,33,35,-1,34,32,33,-1,36,35,37,-1,36,34,35,-1,38,37,39,-1,38,36,37,-1,40,39,41,-1,40,38,39,-1,3,41,1,-1,3,40,41,-1]
coord Coordinate { point [0.059 -0.051 0.006,-0.059 -0.052 0.006,-0.059 -0.051 0.006,0.059 -0.052 0.006,0.059 -0.050 0.005,-0.059 -0.050 0.005,-0.059 -0.050 0.005,0.059 -0.050 0.005,0.059 -0.049 0.005,-0.059 -0.049 0.005,0.059 -0.055 0.013,-0.059 -0.055 0.014,-0.059 -0.055 0.013,0.059 -0.048 0.004,-0.059 -0.048 0.004,0.059 -0.055 0.014,0.059 -0.048 0.004,-0.059 -0.048 0.004,0.059 -0.055 0.012,-0.059 -0.055 0.012,-0.059 -0.047 0.004,0.059 -0.047 0.004,0.059 -0.046 0.004,-0.059 -0.046 0.004,0.059 -0.055 0.011,-0.059 -0.055 0.011,0.059 -0.045 0.004,-0.059 -0.045 0.004,0.059 -0.055 0.011,-0.059 -0.055 0.011,0.059 -0.054 0.010,-0.059 -0.054 0.010,0.059 -0.054 0.009,-0.059 -0.054 0.009,0.059 -0.054 0.009,-0.059 -0.054 0.009,0.059 -0.053 0.008,-0.059 -0.053 0.008,0.059 -0.053 0.007,-0.059 -0.053 0.007,0.059 -0.052 0.007,-0.059 -0.052 0.007]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.059 -0.045 0.004,-0.059 0.045 0.004,0.059 0.045 0.004,0.059 -0.045 0.004]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,0,2,4,-1,5,0,4,-1,6,5,4,-1,6,4,7,-1,6,7,8,-1,9,6,8,-1,10,11,12,-1,13,9,14,-1,11,15,12,-1,9,8,14,-1,13,14,16,-1,17,13,16,-1,18,10,19,-1,20,17,16,-1,20,16,21,-1,10,12,19,-1,22,20,21,-1,23,22,24,-1,22,21,24,-1,25,18,26,-1,23,24,27,-1,18,19,26,-1,28,25,29,-1,25,26,29,-1,30,28,31,-1,28,29,31,-1,32,30,33,-1,30,31,33,-1,34,32,35,-1,32,33,35,-1,36,34,37,-1,34,35,37,-1,38,36,39,-1,36,37,39,-1,40,38,41,-1,38,39,41,-1,1,40,3,-1,40,41,3,-1]
coord Coordinate { point [-0.059 0.051 0.006,-0.059 0.052 0.006,0.059 0.051 0.006,0.059 0.052 0.006,0.059 0.050 0.005,-0.059 0.050 0.005,-0.059 0.050 0.005,0.059 0.050 0.005,0.059 0.049 0.005,-0.059 0.049 0.005,-0.059 0.055 0.013,-0.059 0.055 0.014,0.059 0.055 0.013,-0.059 0.048 0.004,0.059 0.048 0.004,0.059 0.055 0.014,0.059 0.048 0.004,-0.059 0.048 0.004,-0.059 0.055 0.012,0.059 0.055 0.012,-0.059 0.047 0.004,0.059 0.047 0.004,-0.059 0.046 0.004,-0.059 0.045 0.004,0.059 0.046 0.004,-0.059 0.055 0.011,0.059 0.055 0.011,0.059 0.045 0.004,-0.059 0.055 0.011,0.059 0.055 0.011,-0.059 0.054 0.010,0.059 0.054 0.010,-0.059 0.054 0.009,0.059 0.054 0.009,-0.059 0.054 0.009,0.059 0.054 0.009,-0.059 0.053 0.008,0.059 0.053 0.008,-0.059 0.053 0.007,0.059 0.053 0.007,-0.059 0.052 0.007,0.059 0.052 0.007]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.059 0.055 0.104,0.059 0.055 0.014,-0.059 0.055 0.014,-0.059 0.055 0.104]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,3,2,4,-1,3,4,5,-1,6,5,7,-1,6,3,5,-1,8,6,7,-1,8,7,9,-1,10,8,9,-1,11,12,13,-1,11,13,14,-1,15,9,16,-1,11,17,12,-1,15,16,18,-1,15,10,9,-1,19,18,20,-1,21,14,22,-1,19,15,18,-1,23,20,24,-1,23,19,20,-1,21,11,14,-1,25,23,24,-1,26,21,22,-1,27,22,28,-1,27,26,22,-1,29,28,30,-1,29,30,31,-1,29,27,28,-1,32,31,33,-1,32,29,31,-1,34,32,33,-1,35,33,36,-1,35,34,33,-1,37,36,38,-1,37,38,39,-1,37,35,36,-1,40,37,39,-1,1,39,41,-1,1,41,2,-1,1,40,39,-1]
coord Coordinate { point [0.059 0.051 0.112,0.059 0.052 0.112,-0.059 0.051 0.112,0.059 0.050 0.113,-0.059 0.050 0.113,-0.059 0.050 0.113,0.059 0.050 0.113,-0.059 0.049 0.113,0.059 0.049 0.113,-0.059 0.048 0.114,0.059 0.048 0.114,0.059 0.055 0.105,-0.059 0.055 0.104,-0.059 0.055 0.105,-0.059 0.055 0.106,0.059 0.048 0.114,-0.059 0.048 0.114,0.059 0.055 0.104,-0.059 0.047 0.114,0.059 0.047 0.114,-0.059 0.046 0.114,0.059 0.055 0.106,-0.059 0.055 0.107,0.059 0.046 0.114,-0.059 0.045 0.114,0.059 0.045 0.114,0.059 0.055 0.107,0.059 0.055 0.107,-0.059 0.055 0.107,0.059 0.054 0.108,-0.059 0.054 0.108,-0.059 0.054 0.109,0.059 0.054 0.109,-0.059 0.054 0.109,0.059 0.054 0.109,0.059 0.053 0.110,-0.059 0.053 0.110,0.059 0.053 0.111,-0.059 0.053 0.111,-0.059 0.052 0.111,0.059 0.052 0.111,-0.059 0.052 0.112]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.059 0.045 0.114,-0.059 0.045 0.114,-0.059 -0.045 0.114,0.059 -0.045 0.114]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,0,3,-1,5,4,3,-1,6,5,7,-1,5,3,7,-1,6,7,8,-1,9,6,8,-1,9,8,10,-1,11,12,13,-1,14,11,13,-1,15,9,16,-1,12,17,13,-1,18,15,16,-1,9,10,16,-1,19,18,20,-1,21,14,22,-1,18,16,20,-1,23,19,24,-1,19,20,24,-1,14,13,22,-1,23,24,25,-1,21,22,26,-1,27,21,28,-1,21,26,28,-1,29,27,30,-1,31,29,30,-1,27,28,30,-1,32,31,33,-1,31,30,33,-1,32,33,34,-1,35,32,36,-1,32,34,36,-1,37,35,38,-1,39,37,38,-1,35,36,38,-1,39,38,40,-1,41,39,1,-1,0,41,1,-1,39,40,1,-1]
coord Coordinate { point [-0.059 -0.051 0.112,0.059 -0.052 0.112,0.059 -0.051 0.112,0.059 -0.050 0.113,-0.059 -0.050 0.113,-0.059 -0.050 0.113,-0.059 -0.049 0.113,0.059 -0.050 0.113,0.059 -0.049 0.113,-0.059 -0.048 0.114,0.059 -0.048 0.114,-0.059 -0.055 0.105,-0.059 -0.055 0.104,0.059 -0.055 0.105,-0.059 -0.055 0.106,-0.059 -0.048 0.114,0.059 -0.048 0.114,0.059 -0.055 0.104,-0.059 -0.047 0.114,-0.059 -0.046 0.114,0.059 -0.047 0.114,-0.059 -0.055 0.107,0.059 -0.055 0.106,-0.059 -0.045 0.114,0.059 -0.046 0.114,0.059 -0.045 0.114,0.059 -0.055 0.107,-0.059 -0.055 0.107,0.059 -0.055 0.107,-0.059 -0.054 0.108,0.059 -0.054 0.108,-0.059 -0.054 0.109,-0.059 -0.054 0.109,0.059 -0.054 0.109,0.059 -0.054 0.109,-0.059 -0.053 0.110,0.059 -0.053 0.110,-0.059 -0.053 0.111,0.059 -0.053 0.111,-0.059 -0.052 0.111,0.059 -0.052 0.111,-0.059 -0.052 0.112]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.059 -0.055 0.014,0.059 -0.055 0.014,0.059 -0.055 0.104,-0.059 -0.055 0.104]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,5,3,-1,4,3,0,-1,6,2,7,-1,6,0,2,-1,8,9,5,-1,8,10,9,-1,8,5,4,-1,11,12,13,-1,11,7,12,-1,11,6,7,-1,14,13,15,-1,14,11,13,-1,16,17,10,-1,16,10,8,-1,18,15,19,-1,18,14,15,-1,20,21,17,-1,20,17,16,-1,22,23,24,-1,22,19,23,-1,22,18,19,-1,25,21,20,-1,25,26,21,-1,25,27,26,-1,28,22,24,-1,29,27,25,-1,30,28,24,-1,31,27,29,-1,32,30,24,-1,33,27,31,-1,34,27,33,-1,35,32,24,-1,36,35,24,-1,37,27,34,-1,38,36,24,-1,39,36,38,-1,40,27,37,-1,41,27,40,-1,42,39,38,-1,43,41,40,-1,44,42,38,-1,45,41,43,-1,46,44,38,-1,47,41,45,-1,48,46,38,-1,49,41,47,-1,50,38,51,-1,50,51,52,-1,50,48,38,-1,53,54,55,-1,53,55,41,-1,53,41,49,-1,56,50,52,-1,56,52,57,-1,58,59,54,-1,58,54,53,-1,60,57,61,-1,60,56,57,-1,62,63,59,-1,62,59,58,-1,64,61,65,-1,64,65,66,-1,64,60,61,-1,67,68,69,-1,67,69,63,-1,67,63,62,-1,70,66,71,-1,70,64,66,-1,72,73,68,-1,72,68,67,-1,74,70,71,-1,75,74,71,-1,76,73,72,-1,77,73,76,-1,78,74,75,-1,78,79,74,-1,80,77,76,-1,80,76,81,-1,82,79,78,-1,82,83,79,-1,84,80,81,-1,84,81,85,-1,86,83,82,-1,87,84,85,-1,88,89,83,-1,88,83,86,-1,90,87,85,-1,90,85,91,-1,92,93,89,-1,92,89,88,-1,94,90,91,-1,94,91,95,-1,96,93,92,-1,96,97,93,-1,98,94,95,-1,98,95,99,-1,100,97,96,-1,101,98,99,-1,102,103,97,-1,102,104,103,-1,102,105,104,-1,102,106,105,-1,102,97,100,-1,107,106,102,-1,108,101,99,-1,108,109,110,-1,108,111,109,-1,108,112,111,-1,108,113,112,-1,108,99,113,-1,114,115,107,-1,114,116,117,-1,114,117,118,-1,114,118,119,-1,114,119,120,-1,114,120,115,-1,114,107,102,-1,121,122,123,-1,121,123,124,-1,121,124,125,-1,121,125,126,-1,121,126,127,-1,121,110,122,-1,121,108,110,-1,128,121,127,-1,129,116,114,-1,130,116,129,-1,130,131,116,-1,132,127,133,-1,132,128,127,-1,134,131,130,-1,134,135,131,-1,136,133,137,-1,136,132,133,-1,138,136,137,-1,138,137,139,-1,140,135,134,-1,140,141,135,-1,142,138,139,-1,143,141,140,-1,144,139,145,-1,144,142,139,-1,146,147,141,-1,146,141,143,-1,148,145,149,-1,148,144,145,-1,150,147,146,-1,150,149,147,-1,151,149,150,-1,151,148,149,-1]
coord Coordinate { point [-0.059 0.052 0.007,-0.059 0.056 0.003,-0.059 0.057 0.004,-0.059 0.055 0.002,-0.059 0.052 0.006,-0.059 0.055 0.002,-0.059 0.053 0.007,-0.059 0.057 0.004,-0.059 0.051 0.006,-0.059 0.054 0.001,-0.059 0.053 0.001,-0.059 0.053 0.008,-0.059 0.058 0.005,-0.059 0.058 0.006,-0.059 0.054 0.009,-0.059 0.059 0.007,-0.059 0.050 0.005,-0.059 0.052 0.000,-0.059 0.054 0.009,-0.059 0.059 0.008,-0.059 0.050 0.005,-0.059 0.051 0.000,-0.059 0.054 0.010,-0.059 0.059 0.009,-0.059 0.059 0.010,-0.059 0.049 0.005,-0.059 0.050 0.000,-0.059 0.049 0.000,-0.059 0.055 0.011,-0.059 0.048 0.004,-0.059 0.055 0.011,-0.059 0.048 0.004,-0.059 0.055 0.012,-0.059 0.047 0.004,-0.059 0.046 0.004,-0.059 0.055 0.013,-0.059 0.055 0.014,-0.059 0.045 0.004,-0.059 0.059 0.108,-0.059 0.055 0.104,-0.059 -0.045 0.004,-0.059 -0.049 0.000,-0.059 0.055 0.105,-0.059 -0.046 0.004,-0.059 0.055 0.106,-0.059 -0.047 0.004,-0.059 0.055 0.107,-0.059 -0.048 0.004,-0.059 0.055 0.107,-0.059 -0.048 0.004,-0.059 0.054 0.108,-0.059 0.059 0.109,-0.059 0.059 0.110,-0.059 -0.049 0.005,-0.059 -0.051 0.000,-0.059 -0.050 0.000,-0.059 0.054 0.109,-0.059 0.059 0.111,-0.059 -0.050 0.005,-0.059 -0.052 0.000,-0.059 0.054 0.109,-0.059 0.058 0.112,-0.059 -0.050 0.005,-0.059 -0.053 0.001,-0.059 0.053 0.110,-0.059 0.058 0.113,-0.059 0.057 0.114,-0.059 -0.051 0.006,-0.059 -0.055 0.002,-0.059 -0.054 0.001,-0.059 0.053 0.111,-0.059 0.057 0.115,-0.059 -0.052 0.006,-0.059 -0.055 0.002,-0.059 0.052 0.111,-0.059 0.056 0.115,-0.059 -0.052 0.007,-0.059 -0.056 0.003,-0.059 0.055 0.116,-0.059 0.052 0.112,-0.059 -0.057 0.004,-0.059 -0.053 0.007,-0.059 0.055 0.116,-0.059 0.051 0.112,-0.059 -0.057 0.004,-0.059 -0.053 0.008,-0.059 0.054 0.117,-0.059 -0.058 0.005,-0.059 0.053 0.117,-0.059 0.050 0.113,-0.059 -0.058 0.006,-0.059 -0.054 0.009,-0.059 0.052 0.118,-0.059 0.050 0.113,-0.059 -0.059 0.007,-0.059 -0.054 0.009,-0.059 0.051 0.118,-0.059 0.049 0.113,-0.059 -0.059 0.008,-0.059 -0.054 0.010,-0.059 0.050 0.118,-0.059 -0.059 0.009,-0.059 0.049 0.118,-0.059 0.048 0.114,-0.059 0.048 0.114,-0.059 0.047 0.114,-0.059 0.046 0.114,-0.059 0.045 0.114,-0.059 -0.059 0.010,-0.059 -0.055 0.013,-0.059 -0.055 0.014,-0.059 -0.055 0.012,-0.059 -0.055 0.011,-0.059 -0.055 0.011,-0.059 -0.049 0.118,-0.059 -0.045 0.114,-0.059 -0.049 0.113,-0.059 -0.048 0.114,-0.059 -0.048 0.114,-0.059 -0.047 0.114,-0.059 -0.046 0.114,-0.059 -0.059 0.108,-0.059 -0.055 0.104,-0.059 -0.055 0.105,-0.059 -0.055 0.106,-0.059 -0.055 0.107,-0.059 -0.055 0.107,-0.059 -0.054 0.108,-0.059 -0.059 0.109,-0.059 -0.050 0.118,-0.059 -0.051 0.118,-0.059 -0.050 0.113,-0.059 -0.059 0.110,-0.059 -0.054 0.109,-0.059 -0.052 0.118,-0.059 -0.050 0.113,-0.059 -0.059 0.111,-0.059 -0.054 0.109,-0.059 -0.058 0.112,-0.059 -0.053 0.110,-0.059 -0.053 0.117,-0.059 -0.051 0.112,-0.059 -0.058 0.113,-0.059 -0.054 0.117,-0.059 -0.057 0.114,-0.059 -0.053 0.111,-0.059 -0.055 0.116,-0.059 -0.052 0.112,-0.059 -0.057 0.115,-0.059 -0.052 0.111,-0.059 -0.055 0.116,-0.059 -0.056 0.115]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.118 -0.059 0.010,-0.059 -0.059 0.010,-0.059 -0.059 0.108,-0.118 -0.059 0.108]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,2,5,-1,4,3,2,-1,6,5,7,-1,6,7,8,-1,6,4,5,-1,9,8,10,-1,9,6,8,-1,11,9,10,-1,12,10,13,-1,12,13,14,-1,12,11,10,-1,15,14,16,-1,15,12,14,-1,17,16,18,-1,17,15,16,-1,19,18,20,-1,19,17,18,-1,21,20,22,-1,21,19,20,-1,23,22,24,-1,23,21,22,-1,25,24,26,-1,25,23,24,-1,27,26,28,-1,27,25,26,-1,29,27,28,-1,30,28,31,-1,30,31,32,-1,30,29,28,-1,33,30,32,-1]
coord Coordinate { point [-0.059 -0.059 0.010,-0.118 -0.059 0.010,-0.118 -0.059 0.009,-0.059 -0.059 0.009,-0.059 -0.059 0.008,-0.118 -0.059 0.008,-0.059 -0.059 0.007,-0.118 -0.059 0.007,-0.118 -0.058 0.006,-0.059 -0.058 0.006,-0.118 -0.058 0.005,-0.059 -0.058 0.005,-0.059 -0.057 0.004,-0.118 -0.057 0.004,-0.118 -0.057 0.004,-0.059 -0.057 0.004,-0.118 -0.056 0.003,-0.059 -0.056 0.003,-0.118 -0.055 0.002,-0.059 -0.055 0.002,-0.118 -0.055 0.002,-0.059 -0.055 0.002,-0.118 -0.054 0.001,-0.059 -0.054 0.001,-0.118 -0.053 0.001,-0.059 -0.053 0.001,-0.118 -0.052 0.000,-0.059 -0.052 0.000,-0.118 -0.051 0.000,-0.059 -0.051 0.000,-0.059 -0.050 0.000,-0.118 -0.050 0.000,-0.118 -0.049 0.000,-0.059 -0.049 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,5,-1,4,6,5,-1,7,3,8,-1,3,5,8,-1,9,7,10,-1,7,8,10,-1,11,9,12,-1,13,11,12,-1,9,10,12,-1,14,13,15,-1,13,12,15,-1,16,14,17,-1,14,15,17,-1,18,16,19,-1,16,17,19,-1,20,18,21,-1,18,19,21,-1,22,20,23,-1,20,21,23,-1,24,22,25,-1,22,23,25,-1,26,24,27,-1,24,25,27,-1,26,27,28,-1,29,26,30,-1,31,29,30,-1,26,28,30,-1,31,30,32,-1,33,31,1,-1,0,33,1,-1,31,32,1,-1]
coord Coordinate { point [-0.118 -0.049 0.118,-0.059 -0.050 0.118,-0.059 -0.049 0.118,-0.118 -0.059 0.109,-0.118 -0.059 0.108,-0.059 -0.059 0.109,-0.059 -0.059 0.108,-0.118 -0.059 0.110,-0.059 -0.059 0.110,-0.118 -0.059 0.111,-0.059 -0.059 0.111,-0.118 -0.058 0.112,-0.059 -0.058 0.112,-0.118 -0.058 0.113,-0.118 -0.057 0.114,-0.059 -0.058 0.113,-0.118 -0.057 0.115,-0.059 -0.057 0.114,-0.118 -0.056 0.115,-0.059 -0.057 0.115,-0.118 -0.055 0.116,-0.059 -0.056 0.115,-0.118 -0.055 0.116,-0.059 -0.055 0.116,-0.118 -0.054 0.117,-0.059 -0.055 0.116,-0.118 -0.053 0.117,-0.059 -0.054 0.117,-0.059 -0.053 0.117,-0.118 -0.052 0.118,-0.059 -0.052 0.118,-0.118 -0.051 0.118,-0.059 -0.051 0.118,-0.118 -0.050 0.118]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.118 -0.049 0.000,-0.118 0.049 0.000,-0.059 0.049 0.000,-0.059 -0.049 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.059 0.049 0.118,-0.118 0.049 0.118,-0.118 -0.049 0.118,-0.059 -0.049 0.118]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,0,5,-1,0,3,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,9,8,10,-1,8,7,10,-1,9,10,11,-1,12,9,13,-1,14,12,13,-1,9,11,13,-1,15,14,16,-1,14,13,16,-1,17,15,18,-1,15,16,18,-1,19,17,20,-1,17,18,20,-1,21,19,22,-1,19,20,22,-1,23,21,24,-1,21,22,24,-1,25,23,26,-1,23,24,26,-1,27,25,28,-1,25,26,28,-1,27,28,29,-1,30,27,31,-1,32,30,31,-1,27,29,31,-1,32,31,33,-1]
coord Coordinate { point [-0.118 0.059 0.009,-0.118 0.059 0.010,-0.059 0.059 0.010,-0.059 0.059 0.009,-0.118 0.059 0.008,-0.059 0.059 0.008,-0.118 0.059 0.007,-0.059 0.059 0.007,-0.118 0.058 0.006,-0.118 0.058 0.005,-0.059 0.058 0.006,-0.059 0.058 0.005,-0.118 0.057 0.004,-0.059 0.057 0.004,-0.118 0.057 0.004,-0.118 0.056 0.003,-0.059 0.057 0.004,-0.118 0.055 0.002,-0.059 0.056 0.003,-0.118 0.055 0.002,-0.059 0.055 0.002,-0.118 0.054 0.001,-0.059 0.055 0.002,-0.118 0.053 0.001,-0.059 0.054 0.001,-0.118 0.052 0.000,-0.059 0.053 0.001,-0.118 0.051 0.000,-0.059 0.052 0.000,-0.059 0.051 0.000,-0.118 0.050 0.000,-0.059 0.050 0.000,-0.118 0.049 0.000,-0.059 0.049 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,5,-1,3,6,4,-1,7,5,8,-1,7,3,5,-1,9,8,10,-1,9,7,8,-1,11,10,12,-1,11,12,13,-1,11,9,10,-1,14,13,15,-1,14,11,13,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,20,21,-1,24,23,25,-1,24,22,23,-1,26,25,27,-1,26,24,25,-1,28,26,27,-1,29,27,30,-1,29,30,31,-1,29,28,27,-1,32,29,31,-1,1,31,33,-1,1,33,2,-1,1,32,31,-1]
coord Coordinate { point [-0.059 0.049 0.118,-0.059 0.050 0.118,-0.118 0.049 0.118,-0.059 0.059 0.109,-0.118 0.059 0.108,-0.118 0.059 0.109,-0.059 0.059 0.108,-0.059 0.059 0.110,-0.118 0.059 0.110,-0.059 0.059 0.111,-0.118 0.059 0.111,-0.059 0.058 0.112,-0.118 0.058 0.112,-0.118 0.058 0.113,-0.059 0.058 0.113,-0.118 0.057 0.114,-0.059 0.057 0.114,-0.118 0.057 0.115,-0.059 0.057 0.115,-0.118 0.056 0.115,-0.059 0.056 0.115,-0.118 0.055 0.116,-0.059 0.055 0.116,-0.118 0.055 0.116,-0.059 0.055 0.116,-0.118 0.054 0.117,-0.059 0.054 0.117,-0.118 0.053 0.117,-0.059 0.053 0.117,-0.059 0.052 0.118,-0.118 0.052 0.118,-0.118 0.051 0.118,-0.059 0.051 0.118,-0.118 0.050 0.118]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.059 0.059 0.108,-0.059 0.059 0.010,-0.118 0.059 0.010,-0.118 0.059 0.108]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,5,-1,6,3,5,-1,1,7,8,-1,2,1,8,-1,9,10,11,-1,12,9,11,-1,13,12,11,-1,14,6,15,-1,8,7,16,-1,6,5,15,-1,17,8,18,-1,14,15,19,-1,18,8,20,-1,21,11,22,-1,16,23,24,-1,23,25,24,-1,8,16,24,-1,19,26,27,-1,28,14,27,-1,29,20,30,-1,14,19,27,-1,30,20,31,-1,32,33,34,-1,10,32,34,-1,31,20,35,-1,11,10,34,-1,24,36,35,-1,22,11,34,-1,20,8,35,-1,37,22,34,-1,8,24,35,-1,38,37,34,-1,33,31,34,-1,31,35,34,-1,27,39,40,-1,35,28,40,-1,28,27,40,-1,41,34,42,-1,35,40,42,-1,34,35,42,-1,28,43,44,-1,45,41,46,-1,47,33,32,-1,48,47,32,-1,41,42,49,-1,46,41,49,-1,28,44,50,-1,44,51,50,-1,42,52,53,-1,52,54,53,-1,54,55,53,-1,49,42,56,-1,42,53,56,-1,57,32,10,-1,58,57,10,-1,50,59,14,-1,28,50,14,-1,53,60,61,-1,60,62,61,-1,63,56,61,-1,56,53,61,-1,63,61,64,-1,65,63,64,-1,64,61,66,-1,67,10,9,-1]
coord Coordinate { point [-0.118 0.056 0.003,-0.118 0.055 0.002,-0.118 0.057 0.004,-0.118 -0.056 0.003,-0.118 -0.057 0.004,-0.118 -0.057 0.004,-0.118 -0.055 0.002,-0.118 0.055 0.002,-0.118 0.057 0.004,-0.118 0.056 0.115,-0.118 0.057 0.114,-0.118 0.054 0.117,-0.118 0.055 0.116,-0.118 0.055 0.116,-0.118 -0.055 0.002,-0.118 -0.058 0.005,-0.118 0.054 0.001,-0.118 0.058 0.005,-0.118 0.058 0.006,-0.118 -0.058 0.006,-0.118 0.059 0.007,-0.118 0.053 0.117,-0.118 0.052 0.118,-0.118 0.053 0.001,-0.118 0.051 0.000,-0.118 0.052 0.000,-0.118 -0.059 0.007,-0.118 -0.059 0.008,-0.118 -0.049 0.000,-0.118 0.059 0.008,-0.118 0.059 0.009,-0.118 0.059 0.010,-0.118 0.059 0.111,-0.118 0.059 0.108,-0.118 0.049 0.118,-0.118 0.049 0.000,-0.118 0.050 0.000,-0.118 0.051 0.118,-0.118 0.050 0.118,-0.118 -0.059 0.009,-0.118 -0.059 0.010,-0.118 -0.049 0.118,-0.118 -0.059 0.108,-0.118 -0.050 0.000,-0.118 -0.051 0.000,-0.118 -0.050 0.118,-0.118 -0.051 0.118,-0.118 0.059 0.109,-0.118 0.059 0.110,-0.118 -0.052 0.118,-0.118 -0.053 0.001,-0.118 -0.052 0.000,-0.118 -0.059 0.109,-0.118 -0.058 0.112,-0.118 -0.059 0.110,-0.118 -0.059 0.111,-0.118 -0.053 0.117,-0.118 0.058 0.112,-0.118 0.058 0.113,-0.118 -0.054 0.001,-0.118 -0.058 0.113,-0.118 -0.057 0.115,-0.118 -0.057 0.114,-0.118 -0.054 0.117,-0.118 -0.055 0.116,-0.118 -0.055 0.116,-0.118 -0.056 0.115,-0.118 0.057 0.115]
}}
appearance Appearance{material USE PIN-01 }
}

View File

@ -0,0 +1,31 @@
(module C_0201_0603Metric (layer F.Cu) (tedit 5B301BBE)
(descr "Capacitor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(attr smd)
(fp_text reference REF** (at 0 -1.05) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value C_0201_0603Metric (at 0 1.05) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.3 0.15) (end -0.3 -0.15) (layer F.Fab) (width 0.1))
(fp_line (start -0.3 -0.15) (end 0.3 -0.15) (layer F.Fab) (width 0.1))
(fp_line (start 0.3 -0.15) (end 0.3 0.15) (layer F.Fab) (width 0.1))
(fp_line (start 0.3 0.15) (end -0.3 0.15) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 0.35) (end -0.7 -0.35) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.7 -0.35) (end 0.7 -0.35) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.7 -0.35) (end 0.7 0.35) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.7 0.35) (end -0.7 0.35) (layer F.CrtYd) (width 0.05))
(pad "" smd roundrect (at -0.345 0) (size 0.318 0.36) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 0.345 0) (size 0.318 0.36) (layers F.Paste) (roundrect_rratio 0.25))
(pad 1 smd roundrect (at -0.32 0) (size 0.46 0.4) (layers F.Cu F.Mask) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.32 0) (size 0.46 0.4) (layers F.Cu F.Mask) (roundrect_rratio 0.25))
(fp_text user %R (at 0 -0.68) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0201_0603Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,193 @@
#VRML V2.0 utf8
#kicad StepUp wrl exported
Shape {
appearance Appearance {material DEF PIN-01 Material {
ambientIntensity 0.271
diffuseColor 0.824 0.82 0.781
specularColor 0.328 0.258 0.172
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.7
}
}
}
Shape {
appearance Appearance {material DEF CAP-CERAMIC-06 Material {
ambientIntensity 0.453
diffuseColor 0.379 0.27 0.215
specularColor 0.223 0.223 0.223
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.15
}
}
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.098 -0.098 0.010,0.197 -0.098 0.010,0.197 -0.098 0.187,0.098 -0.098 0.187]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,5,-1,6,7,1,-1,6,1,0,-1,8,5,9,-1,8,3,5,-1,8,9,10,-1,11,12,13,-1,8,14,7,-1,8,10,14,-1,15,7,6,-1,15,8,7,-1,16,17,18,-1,16,19,17,-1,20,8,15,-1,21,20,15,-1,22,23,20,-1,22,20,21,-1,24,11,25,-1,26,27,23,-1,26,23,22,-1,28,29,16,-1,14,12,11,-1,14,11,24,-1,10,18,12,-1,10,16,18,-1,10,28,16,-1,30,31,26,-1,10,12,14,-1,32,33,34,-1,32,35,33,-1,32,22,35,-1,32,26,22,-1,32,30,26,-1,36,30,32,-1,37,38,9,-1,39,37,9,-1,40,41,42,-1,43,39,9,-1,44,43,9,-1,45,7,41,-1,45,40,46,-1,45,41,40,-1,47,44,9,-1,1,7,45,-1,1,45,48,-1,1,48,49,-1,5,50,51,-1,5,51,47,-1,5,47,9,-1]
coord Coordinate { point [0.197 0.091 0.197,0.197 0.094 0.196,0.197 0.092 0.196,0.197 -0.098 0.009,0.197 -0.098 0.007,0.197 -0.098 0.006,0.197 0.090 0.197,0.197 0.098 0.187,0.197 -0.098 0.010,0.197 -0.089 0.000,0.197 0.089 0.000,0.197 0.098 0.006,0.197 0.096 0.004,0.197 0.097 0.005,0.197 0.098 0.010,0.197 0.089 0.197,0.197 0.092 0.001,0.197 0.095 0.002,0.197 0.096 0.003,0.197 0.094 0.001,0.197 -0.098 0.187,0.197 -0.089 0.197,0.197 -0.090 0.197,0.197 -0.098 0.188,0.197 0.098 0.009,0.197 0.098 0.007,0.197 -0.098 0.191,0.197 -0.098 0.190,0.197 0.090 0.000,0.197 0.091 0.000,0.197 -0.096 0.193,0.197 -0.097 0.192,0.197 -0.095 0.195,0.197 -0.092 0.196,0.197 -0.094 0.196,0.197 -0.091 0.197,0.197 -0.096 0.194,0.197 -0.091 0.000,0.197 -0.090 0.000,0.197 -0.092 0.001,0.197 0.098 0.191,0.197 0.098 0.188,0.197 0.098 0.190,0.197 -0.094 0.001,0.197 -0.095 0.002,0.197 0.096 0.193,0.197 0.097 0.192,0.197 -0.096 0.003,0.197 0.096 0.194,0.197 0.095 0.195,0.197 -0.097 0.005,0.197 -0.096 0.004]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,0,4,1,-1,5,3,6,-1,5,0,3,-1,7,5,6,-1,8,6,9,-1,8,9,10,-1,8,7,6,-1,11,8,10,-1,12,10,13,-1,12,11,10,-1,14,13,15,-1,14,15,16,-1,14,12,13,-1,17,16,18,-1,17,14,16,-1,19,17,18,-1,20,18,21,-1,20,19,18,-1,22,21,23,-1,22,20,21,-1,24,23,25,-1,24,22,23,-1]
coord Coordinate { point [0.197 -0.098 0.009,0.098 -0.098 0.010,0.098 -0.098 0.009,0.098 -0.098 0.007,0.197 -0.098 0.010,0.197 -0.098 0.007,0.098 -0.098 0.006,0.197 -0.098 0.006,0.197 -0.097 0.005,0.098 -0.097 0.005,0.098 -0.096 0.004,0.197 -0.096 0.004,0.197 -0.096 0.003,0.098 -0.096 0.003,0.197 -0.095 0.002,0.098 -0.095 0.002,0.098 -0.094 0.001,0.197 -0.094 0.001,0.098 -0.092 0.001,0.197 -0.092 0.001,0.197 -0.091 0.000,0.098 -0.091 0.000,0.197 -0.090 0.000,0.098 -0.090 0.000,0.197 -0.089 0.000,0.098 -0.089 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,1,4,2,-1,3,2,5,-1,6,3,7,-1,3,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1,14,12,15,-1,12,13,15,-1,16,14,17,-1,14,15,17,-1,18,16,19,-1,16,17,19,-1,20,18,21,-1,22,20,21,-1,18,19,21,-1,22,21,23,-1,24,22,25,-1,22,23,25,-1]
coord Coordinate { point [0.098 -0.098 0.188,0.098 -0.098 0.187,0.197 -0.098 0.188,0.098 -0.098 0.190,0.197 -0.098 0.187,0.197 -0.098 0.190,0.098 -0.098 0.191,0.197 -0.098 0.191,0.098 -0.097 0.192,0.197 -0.097 0.192,0.098 -0.096 0.193,0.197 -0.096 0.193,0.098 -0.096 0.194,0.197 -0.096 0.194,0.098 -0.095 0.195,0.197 -0.095 0.195,0.098 -0.094 0.196,0.197 -0.094 0.196,0.098 -0.092 0.196,0.197 -0.092 0.196,0.098 -0.091 0.197,0.197 -0.091 0.197,0.098 -0.090 0.197,0.197 -0.090 0.197,0.098 -0.089 0.197,0.197 -0.089 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,2,3,4,-1,3,5,4,-1,5,6,4,-1,7,8,9,-1,8,0,9,-1,0,2,9,-1,10,11,12,-1,11,7,12,-1,7,9,12,-1,4,6,13,-1,6,14,13,-1,14,15,13,-1,16,10,17,-1,10,12,17,-1,15,18,19,-1,13,15,19,-1,16,17,20,-1,19,18,21,-1,16,20,22,-1,21,18,23,-1,16,22,24,-1,23,18,25,-1,16,24,26,-1,25,18,27,-1,27,18,28,-1,28,18,29,-1,16,26,30,-1,26,31,30,-1,28,29,32,-1,30,31,33,-1,32,29,34,-1,30,33,35,-1,34,29,36,-1,30,35,37,-1,29,38,39,-1,36,29,39,-1,40,30,41,-1,30,37,41,-1,40,41,42,-1,43,40,42,-1,44,43,42,-1,45,46,47,-1,38,45,47,-1,39,38,47,-1,47,46,48,-1,49,50,48,-1,46,49,48,-1,51,44,52,-1,53,51,52,-1,44,42,52,-1,50,54,55,-1,48,50,55,-1,53,52,56,-1,53,56,57,-1,55,54,58,-1,59,55,58,-1,57,56,60,-1,56,61,60,-1,59,58,62,-1,60,61,63,-1,59,62,64,-1,65,59,64,-1,63,61,66,-1,61,67,66,-1,65,64,68,-1,66,67,69,-1,70,65,71,-1,65,68,71,-1,69,67,72,-1,67,73,72,-1,74,75,76,-1,75,77,76,-1,77,70,76,-1,70,71,76,-1,74,76,78,-1,72,73,79,-1,73,80,79,-1,80,81,79,-1,81,82,79,-1,82,83,79,-1,79,83,84,-1,85,86,87,-1,88,89,87,-1,90,88,87,-1,86,90,87,-1,91,92,93,-1,94,91,93,-1,95,94,93,-1,84,95,93,-1,79,84,93,-1,96,85,97,-1,85,87,97,-1,92,98,99,-1,93,92,99,-1,96,97,100,-1,99,98,101,-1,102,96,103,-1,96,100,103,-1,98,104,105,-1,101,98,105,-1,102,103,106,-1,105,104,107,-1,108,102,109,-1,102,106,109,-1,104,108,110,-1,107,104,110,-1,108,109,111,-1,110,108,111,-1,78,76,87,-1,89,78,87,-1]
coord Coordinate { point [0.098 0.096 0.004,0.098 0.096 0.003,0.098 0.090 0.009,0.098 0.095 0.002,0.098 0.089 0.008,0.098 0.094 0.001,0.098 0.092 0.001,0.098 0.098 0.006,0.098 0.097 0.005,0.098 0.090 0.010,0.098 0.098 0.009,0.098 0.098 0.007,0.098 0.091 0.011,0.098 0.088 0.007,0.098 0.091 0.000,0.098 0.090 0.000,0.098 0.098 0.010,0.098 0.092 0.011,0.098 0.089 0.000,0.098 0.087 0.007,0.098 0.092 0.012,0.098 0.086 0.006,0.098 0.092 0.014,0.098 0.085 0.006,0.098 0.092 0.015,0.098 0.084 0.006,0.098 0.093 0.016,0.098 0.083 0.006,0.098 -0.083 0.006,0.098 -0.089 0.000,0.098 0.098 0.187,0.098 0.093 0.181,0.098 -0.084 0.006,0.098 0.092 0.182,0.098 -0.085 0.006,0.098 0.092 0.183,0.098 -0.086 0.006,0.098 0.092 0.184,0.098 -0.090 0.000,0.098 -0.087 0.007,0.098 0.098 0.188,0.098 0.092 0.185,0.098 0.091 0.186,0.098 0.098 0.190,0.098 0.098 0.191,0.098 -0.091 0.000,0.098 -0.092 0.001,0.098 -0.088 0.007,0.098 -0.089 0.008,0.098 -0.094 0.001,0.098 -0.095 0.002,0.098 0.097 0.192,0.098 0.090 0.187,0.098 0.096 0.193,0.098 -0.096 0.003,0.098 -0.090 0.009,0.098 0.090 0.188,0.098 0.096 0.194,0.098 -0.096 0.004,0.098 -0.090 0.010,0.098 0.095 0.195,0.098 0.089 0.189,0.098 -0.097 0.005,0.098 0.094 0.196,0.098 -0.098 0.006,0.098 -0.091 0.011,0.098 0.092 0.196,0.098 0.088 0.189,0.098 -0.098 0.007,0.098 0.091 0.197,0.098 -0.092 0.011,0.098 -0.098 0.009,0.098 0.090 0.197,0.098 0.087 0.190,0.098 -0.092 0.015,0.098 -0.092 0.014,0.098 -0.098 0.010,0.098 -0.092 0.012,0.098 -0.093 0.016,0.098 0.089 0.197,0.098 0.086 0.190,0.098 0.085 0.191,0.098 0.084 0.191,0.098 0.083 0.191,0.098 -0.083 0.191,0.098 -0.092 0.185,0.098 -0.092 0.184,0.098 -0.098 0.187,0.098 -0.092 0.182,0.098 -0.093 0.181,0.098 -0.092 0.183,0.098 -0.086 0.190,0.098 -0.087 0.190,0.098 -0.089 0.197,0.098 -0.085 0.191,0.098 -0.084 0.191,0.098 -0.091 0.186,0.098 -0.098 0.188,0.098 -0.088 0.189,0.098 -0.090 0.197,0.098 -0.098 0.190,0.098 -0.091 0.197,0.098 -0.090 0.187,0.098 -0.098 0.191,0.098 -0.089 0.189,0.098 -0.092 0.196,0.098 -0.097 0.192,0.098 -0.094 0.196,0.098 -0.090 0.188,0.098 -0.096 0.193,0.098 -0.095 0.195,0.098 -0.096 0.194]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.098 -0.089 0.000,0.098 0.089 0.000,0.197 0.089 0.000,0.197 -0.089 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.197 0.089 0.197,0.098 0.089 0.197,0.098 -0.089 0.197,0.197 -0.089 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,0,2,4,-1,5,3,6,-1,3,4,6,-1,7,5,8,-1,5,6,8,-1,7,8,9,-1,10,7,11,-1,12,10,11,-1,7,9,11,-1,13,12,14,-1,12,11,14,-1,13,14,15,-1,16,13,17,-1,13,15,17,-1,18,16,19,-1,20,18,19,-1,16,17,19,-1,21,20,22,-1,20,19,22,-1,21,22,23,-1,24,21,25,-1,21,23,25,-1]
coord Coordinate { point [0.098 0.098 0.009,0.098 0.098 0.010,0.197 0.098 0.010,0.098 0.098 0.007,0.197 0.098 0.009,0.098 0.098 0.006,0.197 0.098 0.007,0.098 0.097 0.005,0.197 0.098 0.006,0.197 0.097 0.005,0.098 0.096 0.004,0.197 0.096 0.004,0.098 0.096 0.003,0.098 0.095 0.002,0.197 0.096 0.003,0.197 0.095 0.002,0.098 0.094 0.001,0.197 0.094 0.001,0.098 0.092 0.001,0.197 0.092 0.001,0.098 0.091 0.000,0.098 0.090 0.000,0.197 0.091 0.000,0.197 0.090 0.000,0.098 0.089 0.000,0.197 0.089 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,0,4,1,-1,5,3,6,-1,5,0,3,-1,7,5,6,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,11,12,-1,10,8,9,-1,13,12,14,-1,13,10,12,-1,15,13,14,-1,16,14,17,-1,16,15,14,-1,18,17,19,-1,18,19,20,-1,18,16,17,-1,21,18,20,-1,22,20,23,-1,22,21,20,-1,24,23,25,-1,24,22,23,-1]
coord Coordinate { point [0.197 0.098 0.188,0.098 0.098 0.187,0.098 0.098 0.188,0.098 0.098 0.190,0.197 0.098 0.187,0.197 0.098 0.190,0.098 0.098 0.191,0.197 0.098 0.191,0.197 0.097 0.192,0.098 0.097 0.192,0.197 0.096 0.193,0.098 0.096 0.193,0.098 0.096 0.194,0.197 0.096 0.194,0.098 0.095 0.195,0.197 0.095 0.195,0.197 0.094 0.196,0.098 0.094 0.196,0.197 0.092 0.196,0.098 0.092 0.196,0.098 0.091 0.197,0.197 0.091 0.197,0.197 0.090 0.197,0.098 0.090 0.197,0.197 0.089 0.197,0.098 0.089 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.197 0.098 0.187,0.197 0.098 0.010,0.098 0.098 0.010,0.098 0.098 0.187]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,4,5,-1,8,7,9,-1,8,6,7,-1,10,9,11,-1,10,11,12,-1,10,8,9,-1,13,10,12,-1,14,12,15,-1,14,13,12,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,23,24,-1,22,20,21,-1,25,22,24,-1,26,24,27,-1,26,25,24,-1,28,27,29,-1,28,26,27,-1]
coord Coordinate { point [0.098 -0.092 0.015,-0.098 -0.093 0.016,-0.098 -0.092 0.015,0.098 -0.093 0.016,0.098 -0.092 0.014,-0.098 -0.092 0.014,0.098 -0.092 0.012,-0.098 -0.092 0.012,0.098 -0.092 0.011,-0.098 -0.092 0.011,0.098 -0.091 0.011,-0.098 -0.091 0.011,-0.098 -0.090 0.010,0.098 -0.090 0.010,0.098 -0.090 0.009,-0.098 -0.090 0.009,0.098 -0.089 0.008,-0.098 -0.089 0.008,0.098 -0.088 0.007,-0.098 -0.088 0.007,0.098 -0.087 0.007,-0.098 -0.087 0.007,0.098 -0.086 0.006,-0.098 -0.086 0.006,-0.098 -0.085 0.006,0.098 -0.085 0.006,0.098 -0.084 0.006,-0.098 -0.084 0.006,0.098 -0.083 0.006,-0.098 -0.083 0.006]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.098 -0.083 0.006,-0.098 0.083 0.006,0.098 0.083 0.006,0.098 -0.083 0.006]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,1,4,2,-1,5,3,6,-1,3,2,6,-1,5,6,7,-1,8,5,9,-1,5,7,9,-1,10,8,11,-1,12,10,11,-1,8,9,11,-1,12,11,13,-1,14,12,15,-1,12,13,15,-1,16,14,17,-1,14,15,17,-1,18,16,19,-1,16,17,19,-1,20,18,21,-1,18,19,21,-1,22,20,23,-1,24,22,23,-1,20,21,23,-1,25,24,26,-1,24,23,26,-1,25,26,27,-1,28,25,29,-1,25,27,29,-1]
coord Coordinate { point [-0.098 0.092 0.015,-0.098 0.093 0.016,0.098 0.092 0.015,-0.098 0.092 0.014,0.098 0.093 0.016,-0.098 0.092 0.012,0.098 0.092 0.014,0.098 0.092 0.012,-0.098 0.092 0.011,0.098 0.092 0.011,-0.098 0.091 0.011,0.098 0.091 0.011,-0.098 0.090 0.010,0.098 0.090 0.010,-0.098 0.090 0.009,0.098 0.090 0.009,-0.098 0.089 0.008,0.098 0.089 0.008,-0.098 0.088 0.007,0.098 0.088 0.007,-0.098 0.087 0.007,0.098 0.087 0.007,-0.098 0.086 0.006,0.098 0.086 0.006,-0.098 0.085 0.006,-0.098 0.084 0.006,0.098 0.085 0.006,0.098 0.084 0.006,-0.098 0.083 0.006,0.098 0.083 0.006]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.098 0.093 0.181,0.098 0.093 0.016,-0.098 0.093 0.016,-0.098 0.093 0.181]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,4,5,-1,8,7,9,-1,8,6,7,-1,10,9,11,-1,10,11,12,-1,10,8,9,-1,13,10,12,-1,14,12,15,-1,14,13,12,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,23,24,-1,22,20,21,-1,25,24,26,-1,25,22,24,-1,27,25,26,-1,28,26,29,-1,28,27,26,-1]
coord Coordinate { point [0.098 0.092 0.182,-0.098 0.093 0.181,-0.098 0.092 0.182,0.098 0.093 0.181,0.098 0.092 0.183,-0.098 0.092 0.183,0.098 0.092 0.184,-0.098 0.092 0.184,0.098 0.092 0.185,-0.098 0.092 0.185,0.098 0.091 0.186,-0.098 0.091 0.186,-0.098 0.090 0.187,0.098 0.090 0.187,0.098 0.090 0.188,-0.098 0.090 0.188,0.098 0.089 0.189,-0.098 0.089 0.189,0.098 0.088 0.189,-0.098 0.088 0.189,0.098 0.087 0.190,-0.098 0.087 0.190,0.098 0.086 0.190,-0.098 0.086 0.190,-0.098 0.085 0.191,0.098 0.085 0.191,-0.098 0.084 0.191,0.098 0.084 0.191,0.098 0.083 0.191,-0.098 0.083 0.191]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.098 0.083 0.191,-0.098 0.083 0.191,-0.098 -0.083 0.191,0.098 -0.083 0.191]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,4,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,12,10,11,-1,8,9,11,-1,12,11,13,-1,14,12,15,-1,12,13,15,-1,16,14,17,-1,14,15,17,-1,18,16,19,-1,16,17,19,-1,20,18,21,-1,18,19,21,-1,22,20,23,-1,24,22,23,-1,20,21,23,-1,24,23,25,-1,26,24,27,-1,24,25,27,-1,28,26,29,-1,26,27,29,-1]
coord Coordinate { point [-0.098 -0.092 0.182,-0.098 -0.093 0.181,0.098 -0.092 0.182,0.098 -0.093 0.181,-0.098 -0.092 0.183,0.098 -0.092 0.183,-0.098 -0.092 0.184,0.098 -0.092 0.184,-0.098 -0.092 0.185,0.098 -0.092 0.185,-0.098 -0.091 0.186,0.098 -0.091 0.186,-0.098 -0.090 0.187,0.098 -0.090 0.187,-0.098 -0.090 0.188,0.098 -0.090 0.188,-0.098 -0.089 0.189,0.098 -0.089 0.189,-0.098 -0.088 0.189,0.098 -0.088 0.189,-0.098 -0.087 0.190,0.098 -0.087 0.190,-0.098 -0.086 0.190,0.098 -0.086 0.190,-0.098 -0.085 0.191,0.098 -0.085 0.191,-0.098 -0.084 0.191,0.098 -0.084 0.191,-0.098 -0.083 0.191,0.098 -0.083 0.191]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.098 -0.093 0.016,0.098 -0.093 0.016,0.098 -0.093 0.181,-0.098 -0.093 0.181]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,3,0,-1,4,5,3,-1,4,6,5,-1,7,8,9,-1,7,2,8,-1,7,0,2,-1,10,11,12,-1,10,9,11,-1,10,7,9,-1,13,6,4,-1,13,14,6,-1,13,15,14,-1,16,12,17,-1,16,10,12,-1,18,19,15,-1,18,15,13,-1,20,16,17,-1,21,19,18,-1,22,20,17,-1,23,19,21,-1,24,22,17,-1,25,19,23,-1,26,24,17,-1,27,19,25,-1,28,19,27,-1,29,19,28,-1,30,26,17,-1,30,31,26,-1,32,29,28,-1,33,31,30,-1,34,29,32,-1,35,33,30,-1,36,29,34,-1,37,35,30,-1,38,39,29,-1,38,29,36,-1,40,30,41,-1,40,37,30,-1,42,40,41,-1,42,41,43,-1,42,43,44,-1,45,46,47,-1,45,47,39,-1,45,39,38,-1,48,46,45,-1,48,49,50,-1,48,50,46,-1,51,44,52,-1,51,52,53,-1,51,42,44,-1,54,55,49,-1,54,49,48,-1,56,51,53,-1,57,56,53,-1,58,55,54,-1,58,54,59,-1,60,56,57,-1,60,61,56,-1,62,58,59,-1,63,61,60,-1,64,62,59,-1,64,59,65,-1,66,61,63,-1,66,67,61,-1,68,64,65,-1,69,67,66,-1,70,65,71,-1,70,68,65,-1,72,67,69,-1,72,73,67,-1,74,75,76,-1,74,77,75,-1,74,71,77,-1,74,70,71,-1,78,74,76,-1,79,73,72,-1,79,80,73,-1,79,81,80,-1,79,82,81,-1,79,83,82,-1,84,83,79,-1,85,86,87,-1,85,88,89,-1,85,89,90,-1,85,90,86,-1,91,92,93,-1,91,93,94,-1,91,94,95,-1,91,95,84,-1,91,84,79,-1,96,87,97,-1,96,85,87,-1,98,99,92,-1,98,92,91,-1,100,96,97,-1,101,99,98,-1,102,97,103,-1,102,100,97,-1,104,105,99,-1,104,99,101,-1,106,102,103,-1,107,105,104,-1,108,103,109,-1,108,106,103,-1,110,109,105,-1,110,105,107,-1,111,108,109,-1,111,109,110,-1,85,74,78,-1,85,78,88,-1]
coord Coordinate { point [-0.098 0.090 0.009,-0.098 0.096 0.003,-0.098 0.096 0.004,-0.098 0.095 0.002,-0.098 0.089 0.008,-0.098 0.094 0.001,-0.098 0.092 0.001,-0.098 0.090 0.010,-0.098 0.097 0.005,-0.098 0.098 0.006,-0.098 0.091 0.011,-0.098 0.098 0.007,-0.098 0.098 0.009,-0.098 0.088 0.007,-0.098 0.091 0.000,-0.098 0.090 0.000,-0.098 0.092 0.011,-0.098 0.098 0.010,-0.098 0.087 0.007,-0.098 0.089 0.000,-0.098 0.092 0.012,-0.098 0.086 0.006,-0.098 0.092 0.014,-0.098 0.085 0.006,-0.098 0.092 0.015,-0.098 0.084 0.006,-0.098 0.093 0.016,-0.098 0.083 0.006,-0.098 -0.083 0.006,-0.098 -0.089 0.000,-0.098 0.098 0.187,-0.098 0.093 0.181,-0.098 -0.084 0.006,-0.098 0.092 0.182,-0.098 -0.085 0.006,-0.098 0.092 0.183,-0.098 -0.086 0.006,-0.098 0.092 0.184,-0.098 -0.087 0.007,-0.098 -0.090 0.000,-0.098 0.092 0.185,-0.098 0.098 0.188,-0.098 0.091 0.186,-0.098 0.098 0.190,-0.098 0.098 0.191,-0.098 -0.088 0.007,-0.098 -0.092 0.001,-0.098 -0.091 0.000,-0.098 -0.089 0.008,-0.098 -0.095 0.002,-0.098 -0.094 0.001,-0.098 0.090 0.187,-0.098 0.097 0.192,-0.098 0.096 0.193,-0.098 -0.090 0.009,-0.098 -0.096 0.003,-0.098 0.090 0.188,-0.098 0.096 0.194,-0.098 -0.096 0.004,-0.098 -0.090 0.010,-0.098 0.095 0.195,-0.098 0.089 0.189,-0.098 -0.097 0.005,-0.098 0.094 0.196,-0.098 -0.098 0.006,-0.098 -0.091 0.011,-0.098 0.092 0.196,-0.098 0.088 0.189,-0.098 -0.098 0.007,-0.098 0.091 0.197,-0.098 -0.098 0.009,-0.098 -0.092 0.011,-0.098 0.090 0.197,-0.098 0.087 0.190,-0.098 -0.098 0.010,-0.098 -0.092 0.014,-0.098 -0.092 0.015,-0.098 -0.092 0.012,-0.098 -0.093 0.016,-0.098 0.089 0.197,-0.098 0.086 0.190,-0.098 0.085 0.191,-0.098 0.084 0.191,-0.098 0.083 0.191,-0.098 -0.083 0.191,-0.098 -0.098 0.187,-0.098 -0.092 0.184,-0.098 -0.092 0.185,-0.098 -0.093 0.181,-0.098 -0.092 0.182,-0.098 -0.092 0.183,-0.098 -0.089 0.197,-0.098 -0.087 0.190,-0.098 -0.086 0.190,-0.098 -0.085 0.191,-0.098 -0.084 0.191,-0.098 -0.098 0.188,-0.098 -0.091 0.186,-0.098 -0.090 0.197,-0.098 -0.088 0.189,-0.098 -0.098 0.190,-0.098 -0.091 0.197,-0.098 -0.098 0.191,-0.098 -0.090 0.187,-0.098 -0.092 0.196,-0.098 -0.089 0.189,-0.098 -0.097 0.192,-0.098 -0.094 0.196,-0.098 -0.096 0.193,-0.098 -0.090 0.188,-0.098 -0.095 0.195,-0.098 -0.096 0.194]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.197 -0.098 0.010,-0.098 -0.098 0.010,-0.098 -0.098 0.187,-0.197 -0.098 0.187]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,2,5,-1,4,3,2,-1,6,5,7,-1,6,4,5,-1,8,7,9,-1,8,9,10,-1,8,6,7,-1,11,8,10,-1,12,10,13,-1,12,11,10,-1,14,13,15,-1,14,15,16,-1,14,12,13,-1,17,16,18,-1,17,14,16,-1,19,17,18,-1,20,18,21,-1,20,19,18,-1,22,21,23,-1,22,20,21,-1,24,23,25,-1,24,22,23,-1]
coord Coordinate { point [-0.098 -0.098 0.010,-0.197 -0.098 0.010,-0.197 -0.098 0.009,-0.098 -0.098 0.009,-0.098 -0.098 0.007,-0.197 -0.098 0.007,-0.098 -0.098 0.006,-0.197 -0.098 0.006,-0.098 -0.097 0.005,-0.197 -0.097 0.005,-0.197 -0.096 0.004,-0.098 -0.096 0.004,-0.098 -0.096 0.003,-0.197 -0.096 0.003,-0.098 -0.095 0.002,-0.197 -0.095 0.002,-0.197 -0.094 0.001,-0.098 -0.094 0.001,-0.197 -0.092 0.001,-0.098 -0.092 0.001,-0.098 -0.091 0.000,-0.197 -0.091 0.000,-0.098 -0.090 0.000,-0.197 -0.090 0.000,-0.098 -0.089 0.000,-0.197 -0.089 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,0,2,4,-1,3,4,5,-1,6,3,7,-1,3,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1,14,12,15,-1,12,13,15,-1,16,14,17,-1,14,15,17,-1,18,16,19,-1,16,17,19,-1,20,18,21,-1,22,20,21,-1,18,19,21,-1,22,21,23,-1,24,22,25,-1,22,23,25,-1]
coord Coordinate { point [-0.197 -0.098 0.188,-0.197 -0.098 0.187,-0.098 -0.098 0.187,-0.197 -0.098 0.190,-0.098 -0.098 0.188,-0.098 -0.098 0.190,-0.197 -0.098 0.191,-0.098 -0.098 0.191,-0.197 -0.097 0.192,-0.098 -0.097 0.192,-0.197 -0.096 0.193,-0.098 -0.096 0.193,-0.197 -0.096 0.194,-0.098 -0.096 0.194,-0.197 -0.095 0.195,-0.098 -0.095 0.195,-0.197 -0.094 0.196,-0.098 -0.094 0.196,-0.197 -0.092 0.196,-0.098 -0.092 0.196,-0.197 -0.091 0.197,-0.098 -0.091 0.197,-0.197 -0.090 0.197,-0.098 -0.090 0.197,-0.197 -0.089 0.197,-0.098 -0.089 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.197 -0.089 0.000,-0.197 0.089 0.000,-0.098 0.089 0.000,-0.098 -0.089 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.098 0.089 0.197,-0.197 0.089 0.197,-0.197 -0.089 0.197,-0.098 -0.089 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,8,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,14,12,13,-1,10,11,13,-1,14,13,15,-1,16,14,17,-1,14,15,17,-1,18,16,19,-1,20,18,19,-1,16,17,19,-1,21,20,22,-1,20,19,22,-1,21,22,23,-1,24,21,25,-1,21,23,25,-1]
coord Coordinate { point [-0.197 0.098 0.009,-0.197 0.098 0.010,-0.098 0.098 0.009,-0.098 0.098 0.010,-0.197 0.098 0.007,-0.098 0.098 0.007,-0.197 0.098 0.006,-0.098 0.098 0.006,-0.197 0.097 0.005,-0.098 0.097 0.005,-0.197 0.096 0.004,-0.098 0.096 0.004,-0.197 0.096 0.003,-0.098 0.096 0.003,-0.197 0.095 0.002,-0.098 0.095 0.002,-0.197 0.094 0.001,-0.098 0.094 0.001,-0.197 0.092 0.001,-0.098 0.092 0.001,-0.197 0.091 0.000,-0.197 0.090 0.000,-0.098 0.091 0.000,-0.098 0.090 0.000,-0.197 0.089 0.000,-0.098 0.089 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,0,2,-1,5,4,6,-1,5,3,4,-1,7,5,6,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,13,14,-1,12,10,11,-1,15,12,14,-1,16,14,17,-1,16,15,14,-1,18,17,19,-1,18,19,20,-1,18,16,17,-1,21,18,20,-1,22,20,23,-1,22,21,20,-1,24,23,25,-1,24,22,23,-1]
coord Coordinate { point [-0.098 0.098 0.187,-0.197 0.098 0.187,-0.197 0.098 0.188,-0.098 0.098 0.188,-0.197 0.098 0.190,-0.098 0.098 0.190,-0.197 0.098 0.191,-0.098 0.098 0.191,-0.098 0.097 0.192,-0.197 0.097 0.192,-0.098 0.096 0.193,-0.197 0.096 0.193,-0.098 0.096 0.194,-0.197 0.096 0.194,-0.197 0.095 0.195,-0.098 0.095 0.195,-0.098 0.094 0.196,-0.197 0.094 0.196,-0.098 0.092 0.196,-0.197 0.092 0.196,-0.197 0.091 0.197,-0.098 0.091 0.197,-0.098 0.090 0.197,-0.197 0.090 0.197,-0.098 0.089 0.197,-0.197 0.089 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.098 0.098 0.187,-0.098 0.098 0.010,-0.197 0.098 0.010,-0.197 0.098 0.187]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,5,-1,1,6,7,-1,2,1,7,-1,8,3,9,-1,3,5,9,-1,10,8,9,-1,11,12,13,-1,6,14,9,-1,14,10,9,-1,7,6,15,-1,6,9,15,-1,16,17,18,-1,17,19,18,-1,15,9,20,-1,15,20,21,-1,20,22,23,-1,21,20,23,-1,24,13,25,-1,22,26,27,-1,23,22,27,-1,18,28,29,-1,13,12,14,-1,25,13,14,-1,12,16,10,-1,16,18,10,-1,18,29,10,-1,27,30,31,-1,14,12,10,-1,32,33,34,-1,33,35,34,-1,35,23,34,-1,23,27,34,-1,27,31,34,-1,34,31,36,-1,8,37,38,-1,8,38,39,-1,40,41,42,-1,8,39,43,-1,8,43,44,-1,41,6,45,-1,46,42,45,-1,42,41,45,-1,8,44,47,-1,45,6,1,-1,48,45,1,-1,49,48,1,-1,50,51,3,-1,47,50,3,-1,8,47,3,-1]
coord Coordinate { point [-0.197 0.092 0.196,-0.197 0.094 0.196,-0.197 0.091 0.197,-0.197 -0.098 0.006,-0.197 -0.098 0.007,-0.197 -0.098 0.009,-0.197 0.098 0.187,-0.197 0.090 0.197,-0.197 -0.089 0.000,-0.197 -0.098 0.010,-0.197 0.089 0.000,-0.197 0.097 0.005,-0.197 0.096 0.004,-0.197 0.098 0.006,-0.197 0.098 0.010,-0.197 0.089 0.197,-0.197 0.096 0.003,-0.197 0.095 0.002,-0.197 0.092 0.001,-0.197 0.094 0.001,-0.197 -0.098 0.187,-0.197 -0.089 0.197,-0.197 -0.098 0.188,-0.197 -0.090 0.197,-0.197 0.098 0.007,-0.197 0.098 0.009,-0.197 -0.098 0.190,-0.197 -0.098 0.191,-0.197 0.091 0.000,-0.197 0.090 0.000,-0.197 -0.097 0.192,-0.197 -0.096 0.193,-0.197 -0.094 0.196,-0.197 -0.092 0.196,-0.197 -0.095 0.195,-0.197 -0.091 0.197,-0.197 -0.096 0.194,-0.197 -0.090 0.000,-0.197 -0.091 0.000,-0.197 -0.092 0.001,-0.197 0.098 0.190,-0.197 0.098 0.188,-0.197 0.098 0.191,-0.197 -0.094 0.001,-0.197 -0.095 0.002,-0.197 0.096 0.193,-0.197 0.097 0.192,-0.197 -0.096 0.003,-0.197 0.096 0.194,-0.197 0.095 0.195,-0.197 -0.096 0.004,-0.197 -0.097 0.005]
}}
appearance Appearance{material USE PIN-01 }
}

View File

@ -0,0 +1,29 @@
(module C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(attr smd)
(fp_text reference REF** (at 0 -1.17) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value C_0402_1005Metric (at 0 1.17) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,31 @@
(module C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(attr smd)
(fp_text reference REF** (at 0 -1.43) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value C_0603_1608Metric (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,31 @@
(module C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags "capacitor handsolder")
(attr smd)
(fp_text reference REF** (at 0 -1.43) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
(pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,193 @@
#VRML V2.0 utf8
#kicad StepUp wrl exported
Shape {
appearance Appearance {material DEF PIN-01 Material {
ambientIntensity 0.271
diffuseColor 0.824 0.82 0.781
specularColor 0.328 0.258 0.172
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.7
}
}
}
Shape {
appearance Appearance {material DEF CAP-CERAMIC-06 Material {
ambientIntensity 0.453
diffuseColor 0.379 0.27 0.215
specularColor 0.223 0.223 0.223
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.15
}
}
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,5,6,-1,5,0,6,-1,0,3,6,-1,6,3,7,-1,8,7,9,-1,9,7,10,-1,10,7,11,-1,11,7,12,-1,7,3,13,-1,12,7,13,-1,13,3,14,-1,15,16,17,-1,14,15,17,-1,14,17,18,-1,14,18,19,-1,14,19,20,-1,20,21,22,-1,13,14,22,-1,14,20,22,-1,22,21,23,-1,22,23,24,-1,23,25,26,-1,24,23,26,-1,26,25,27,-1]
coord Coordinate { point [-0.394 0.243 0.003,-0.394 0.241 0.001,-0.394 0.239 0.000,-0.394 0.236 0.000,-0.394 0.246 0.007,-0.394 0.245 0.005,-0.394 0.246 0.010,-0.394 0.246 0.297,-0.394 0.246 0.300,-0.394 0.245 0.302,-0.394 0.243 0.304,-0.394 0.241 0.306,-0.394 0.239 0.307,-0.394 0.236 0.307,-0.394 -0.236 0.000,-0.394 -0.239 0.000,-0.394 -0.241 0.001,-0.394 -0.243 0.003,-0.394 -0.245 0.005,-0.394 -0.246 0.007,-0.394 -0.246 0.010,-0.394 -0.246 0.297,-0.394 -0.236 0.307,-0.394 -0.246 0.300,-0.394 -0.239 0.307,-0.394 -0.245 0.302,-0.394 -0.241 0.306,-0.394 -0.243 0.304]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.394 -0.246 0.010,-0.256 -0.246 0.010,-0.256 -0.246 0.297,-0.394 -0.246 0.297]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,0,4,1,-1,5,0,3,-1,6,3,7,-1,6,5,3,-1,8,7,9,-1,8,6,7,-1,10,9,11,-1,10,11,12,-1,10,8,9,-1,13,10,12,-1]
coord Coordinate { point [-0.256 -0.246 0.007,-0.394 -0.246 0.010,-0.394 -0.246 0.007,-0.394 -0.245 0.005,-0.256 -0.246 0.010,-0.256 -0.245 0.005,-0.256 -0.243 0.003,-0.394 -0.243 0.003,-0.256 -0.241 0.001,-0.394 -0.241 0.001,-0.256 -0.239 0.000,-0.394 -0.239 0.000,-0.394 -0.236 0.000,-0.256 -0.236 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,8,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [-0.394 -0.246 0.300,-0.394 -0.246 0.297,-0.256 -0.246 0.300,-0.256 -0.246 0.297,-0.394 -0.245 0.302,-0.256 -0.245 0.302,-0.394 -0.243 0.304,-0.256 -0.243 0.304,-0.394 -0.241 0.306,-0.256 -0.241 0.306,-0.394 -0.239 0.307,-0.256 -0.239 0.307,-0.394 -0.236 0.307,-0.256 -0.236 0.307]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.394 -0.236 0.000,-0.394 0.236 0.000,-0.256 0.236 0.000,-0.256 -0.236 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.256 0.236 0.307,-0.394 0.236 0.307,-0.394 -0.236 0.307,-0.256 -0.236 0.307]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,8,7,9,-1,10,8,11,-1,12,10,11,-1,8,9,11,-1,12,11,13,-1]
coord Coordinate { point [-0.394 0.246 0.007,-0.394 0.246 0.010,-0.256 0.246 0.007,-0.256 0.246 0.010,-0.394 0.245 0.005,-0.256 0.245 0.005,-0.394 0.243 0.003,-0.256 0.243 0.003,-0.394 0.241 0.001,-0.256 0.241 0.001,-0.394 0.239 0.000,-0.256 0.239 0.000,-0.394 0.236 0.000,-0.256 0.236 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,7,8,-1,6,4,5,-1,9,6,8,-1,10,8,11,-1,10,11,12,-1,10,9,8,-1,13,10,12,-1]
coord Coordinate { point [-0.256 0.246 0.300,-0.394 0.246 0.297,-0.394 0.246 0.300,-0.256 0.246 0.297,-0.256 0.245 0.302,-0.394 0.245 0.302,-0.256 0.243 0.304,-0.394 0.243 0.304,-0.394 0.241 0.306,-0.256 0.241 0.306,-0.256 0.239 0.307,-0.394 0.239 0.307,-0.394 0.236 0.307,-0.256 0.236 0.307]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.256 0.246 0.297,-0.256 0.246 0.010,-0.394 0.246 0.010,-0.394 0.246 0.297]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,0,2,-1,5,4,6,-1,5,3,4,-1,7,5,6,-1,8,9,10,-1,11,12,13,-1,11,14,12,-1,11,15,14,-1,11,16,15,-1,17,13,18,-1,17,11,13,-1,19,20,16,-1,19,16,11,-1,21,5,7,-1,21,22,23,-1,21,23,24,-1,25,20,19,-1,21,7,22,-1,26,17,18,-1,27,8,10,-1,27,28,29,-1,27,29,8,-1,30,20,25,-1,31,21,24,-1,31,24,32,-1,33,28,27,-1,33,32,28,-1,34,26,18,-1,35,31,32,-1,36,34,18,-1,37,32,33,-1,38,36,18,-1,39,35,32,-1,39,32,37,-1,40,36,38,-1,41,38,42,-1,41,40,38,-1,43,42,44,-1,43,44,45,-1,43,41,42,-1,46,43,45,-1,47,43,46,-1,47,48,43,-1,10,48,47,-1,10,49,48,-1,10,9,49,-1,50,51,20,-1,50,20,30,-1,52,51,50,-1,53,54,51,-1,53,51,52,-1,2,1,55,-1,2,55,54,-1,2,54,53,-1]
coord Coordinate { point [-0.256 -0.245 0.005,-0.256 -0.243 0.003,-0.256 -0.235 0.011,-0.256 -0.246 0.007,-0.256 -0.237 0.013,-0.256 -0.246 0.010,-0.256 -0.238 0.015,-0.256 -0.238 0.018,-0.256 -0.228 0.299,-0.256 0.228 0.299,-0.256 0.236 0.307,-0.256 0.235 0.011,-0.256 0.245 0.005,-0.256 0.246 0.007,-0.256 0.243 0.003,-0.256 0.241 0.001,-0.256 0.239 0.000,-0.256 0.237 0.013,-0.256 0.246 0.010,-0.256 0.233 0.009,-0.256 0.236 0.000,-0.256 -0.246 0.297,-0.256 -0.238 0.289,-0.256 -0.238 0.292,-0.256 -0.237 0.294,-0.256 0.231 0.008,-0.256 0.238 0.015,-0.256 -0.236 0.307,-0.256 -0.233 0.298,-0.256 -0.231 0.299,-0.256 0.228 0.008,-0.256 -0.246 0.300,-0.256 -0.235 0.296,-0.256 -0.239 0.307,-0.256 0.238 0.018,-0.256 -0.245 0.302,-0.256 0.238 0.289,-0.256 -0.241 0.306,-0.256 0.246 0.297,-0.256 -0.243 0.304,-0.256 0.238 0.292,-0.256 0.237 0.294,-0.256 0.246 0.300,-0.256 0.235 0.296,-0.256 0.245 0.302,-0.256 0.243 0.304,-0.256 0.241 0.306,-0.256 0.239 0.307,-0.256 0.233 0.298,-0.256 0.231 0.299,-0.256 -0.228 0.008,-0.256 -0.236 0.000,-0.256 -0.231 0.008,-0.256 -0.233 0.009,-0.256 -0.239 0.000,-0.256 -0.241 0.001]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,4,5,-1,8,7,9,-1,8,6,7,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [0.256 -0.238 0.015,-0.256 -0.238 0.018,-0.256 -0.238 0.015,0.256 -0.238 0.018,0.256 -0.237 0.013,-0.256 -0.237 0.013,0.256 -0.235 0.011,-0.256 -0.235 0.011,0.256 -0.233 0.009,-0.256 -0.233 0.009,0.256 -0.231 0.008,-0.256 -0.231 0.008,0.256 -0.228 0.008,-0.256 -0.228 0.008]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.256 -0.228 0.008,-0.256 0.228 0.008,0.256 0.228 0.008,0.256 -0.228 0.008]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,0,5,-1,0,3,5,-1,6,4,7,-1,4,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,12,10,11,-1,8,9,11,-1,12,11,13,-1]
coord Coordinate { point [-0.256 0.238 0.015,-0.256 0.238 0.018,0.256 0.238 0.018,0.256 0.238 0.015,-0.256 0.237 0.013,0.256 0.237 0.013,-0.256 0.235 0.011,0.256 0.235 0.011,-0.256 0.233 0.009,0.256 0.233 0.009,-0.256 0.231 0.008,0.256 0.231 0.008,-0.256 0.228 0.008,0.256 0.228 0.008]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.256 0.238 0.289,0.256 0.238 0.018,-0.256 0.238 0.018,-0.256 0.238 0.289]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,5,6,-1,4,0,2,-1,7,4,6,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,11,12,-1,10,8,9,-1,13,10,12,-1]
coord Coordinate { point [0.256 0.238 0.292,-0.256 0.238 0.289,-0.256 0.238 0.292,0.256 0.238 0.289,0.256 0.237 0.294,-0.256 0.237 0.294,-0.256 0.235 0.296,0.256 0.235 0.296,0.256 0.233 0.298,-0.256 0.233 0.298,0.256 0.231 0.299,-0.256 0.231 0.299,-0.256 0.228 0.299,0.256 0.228 0.299]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.256 0.228 0.299,-0.256 0.228 0.299,-0.256 -0.228 0.299,0.256 -0.228 0.299]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,6,4,5,-1,0,2,5,-1,6,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [-0.256 -0.238 0.292,-0.256 -0.238 0.289,0.256 -0.238 0.292,0.256 -0.238 0.289,-0.256 -0.237 0.294,0.256 -0.237 0.294,-0.256 -0.235 0.296,0.256 -0.235 0.296,-0.256 -0.233 0.298,0.256 -0.233 0.298,-0.256 -0.231 0.299,0.256 -0.231 0.299,-0.256 -0.228 0.299,0.256 -0.228 0.299]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.256 -0.238 0.018,0.256 -0.238 0.018,0.256 -0.238 0.289,-0.256 -0.238 0.289]
}}
appearance Appearance{material USE CAP-CERAMIC-06 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,0,2,4,-1,5,3,6,-1,3,4,6,-1,5,6,7,-1,8,9,10,-1,11,12,13,-1,12,14,13,-1,14,15,13,-1,15,16,13,-1,17,11,18,-1,11,13,18,-1,16,19,20,-1,13,16,20,-1,7,6,21,-1,22,23,21,-1,24,22,21,-1,20,19,25,-1,23,7,21,-1,17,18,26,-1,8,10,27,-1,28,29,27,-1,10,28,27,-1,25,19,30,-1,24,21,31,-1,32,24,31,-1,27,29,33,-1,29,32,33,-1,17,26,34,-1,32,31,35,-1,17,34,36,-1,33,32,37,-1,17,36,38,-1,32,35,39,-1,37,32,39,-1,38,36,40,-1,41,38,42,-1,38,40,42,-1,43,41,44,-1,45,43,44,-1,41,42,44,-1,45,44,46,-1,46,44,47,-1,44,48,47,-1,47,48,8,-1,48,49,8,-1,49,9,8,-1,19,50,51,-1,30,19,51,-1,51,50,52,-1,50,53,54,-1,52,50,54,-1,55,1,0,-1,53,55,0,-1,54,53,0,-1]
coord Coordinate { point [0.256 -0.235 0.011,0.256 -0.243 0.003,0.256 -0.245 0.005,0.256 -0.237 0.013,0.256 -0.246 0.007,0.256 -0.238 0.015,0.256 -0.246 0.010,0.256 -0.238 0.018,0.256 0.236 0.307,0.256 0.228 0.299,0.256 -0.228 0.299,0.256 0.246 0.007,0.256 0.245 0.005,0.256 0.235 0.011,0.256 0.243 0.003,0.256 0.241 0.001,0.256 0.239 0.000,0.256 0.246 0.010,0.256 0.237 0.013,0.256 0.236 0.000,0.256 0.233 0.009,0.256 -0.246 0.297,0.256 -0.238 0.292,0.256 -0.238 0.289,0.256 -0.237 0.294,0.256 0.231 0.008,0.256 0.238 0.015,0.256 -0.236 0.307,0.256 -0.231 0.299,0.256 -0.233 0.298,0.256 0.228 0.008,0.256 -0.246 0.300,0.256 -0.235 0.296,0.256 -0.239 0.307,0.256 0.238 0.018,0.256 -0.245 0.302,0.256 0.238 0.289,0.256 -0.241 0.306,0.256 0.246 0.297,0.256 -0.243 0.304,0.256 0.238 0.292,0.256 0.246 0.300,0.256 0.237 0.294,0.256 0.245 0.302,0.256 0.235 0.296,0.256 0.243 0.304,0.256 0.241 0.306,0.256 0.239 0.307,0.256 0.233 0.298,0.256 0.231 0.299,0.256 -0.236 0.000,0.256 -0.228 0.008,0.256 -0.231 0.008,0.256 -0.239 0.000,0.256 -0.233 0.009,0.256 -0.241 0.001]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.256 -0.246 0.010,0.394 -0.246 0.010,0.394 -0.246 0.297,0.256 -0.246 0.297]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,0,4,1,-1,5,0,3,-1,6,3,7,-1,6,5,3,-1,8,7,9,-1,8,6,7,-1,10,9,11,-1,10,11,12,-1,10,8,9,-1,13,10,12,-1]
coord Coordinate { point [0.394 -0.246 0.007,0.256 -0.246 0.010,0.256 -0.246 0.007,0.256 -0.245 0.005,0.394 -0.246 0.010,0.394 -0.245 0.005,0.394 -0.243 0.003,0.256 -0.243 0.003,0.394 -0.241 0.001,0.256 -0.241 0.001,0.394 -0.239 0.000,0.256 -0.239 0.000,0.256 -0.236 0.000,0.394 -0.236 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,8,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [0.256 -0.246 0.300,0.256 -0.246 0.297,0.394 -0.246 0.300,0.394 -0.246 0.297,0.256 -0.245 0.302,0.394 -0.245 0.302,0.256 -0.243 0.304,0.394 -0.243 0.304,0.256 -0.241 0.306,0.394 -0.241 0.306,0.256 -0.239 0.307,0.394 -0.239 0.307,0.256 -0.236 0.307,0.394 -0.236 0.307]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.256 -0.236 0.000,0.256 0.236 0.000,0.394 0.236 0.000,0.394 -0.236 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.394 0.236 0.307,0.256 0.236 0.307,0.256 -0.236 0.307,0.394 -0.236 0.307]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,8,7,9,-1,10,8,11,-1,12,10,11,-1,8,9,11,-1,12,11,13,-1]
coord Coordinate { point [0.256 0.246 0.007,0.256 0.246 0.010,0.394 0.246 0.007,0.394 0.246 0.010,0.256 0.245 0.005,0.394 0.245 0.005,0.256 0.243 0.003,0.394 0.243 0.003,0.256 0.241 0.001,0.394 0.241 0.001,0.256 0.239 0.000,0.394 0.239 0.000,0.256 0.236 0.000,0.394 0.236 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,7,8,-1,6,4,5,-1,9,6,8,-1,10,8,11,-1,10,11,12,-1,10,9,8,-1,13,10,12,-1]
coord Coordinate { point [0.394 0.246 0.300,0.256 0.246 0.297,0.256 0.246 0.300,0.394 0.246 0.297,0.394 0.245 0.302,0.256 0.245 0.302,0.394 0.243 0.304,0.256 0.243 0.304,0.256 0.241 0.306,0.394 0.241 0.306,0.394 0.239 0.307,0.256 0.239 0.307,0.256 0.236 0.307,0.394 0.236 0.307]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.394 0.246 0.297,0.394 0.246 0.010,0.256 0.246 0.010,0.256 0.246 0.297]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,5,6,-1,4,2,5,-1,4,3,2,-1,7,3,4,-1,8,7,9,-1,10,7,8,-1,11,7,10,-1,12,7,11,-1,13,3,7,-1,13,7,12,-1,14,3,13,-1,15,16,17,-1,15,17,14,-1,18,15,14,-1,19,18,14,-1,20,19,14,-1,21,22,20,-1,21,14,13,-1,21,20,14,-1,23,22,21,-1,24,23,21,-1,25,26,23,-1,25,23,24,-1,27,26,25,-1]
coord Coordinate { point [0.394 0.239 0.000,0.394 0.241 0.001,0.394 0.243 0.003,0.394 0.236 0.000,0.394 0.246 0.010,0.394 0.245 0.005,0.394 0.246 0.007,0.394 0.246 0.297,0.394 0.245 0.302,0.394 0.246 0.300,0.394 0.243 0.304,0.394 0.241 0.306,0.394 0.239 0.307,0.394 0.236 0.307,0.394 -0.236 0.000,0.394 -0.243 0.003,0.394 -0.241 0.001,0.394 -0.239 0.000,0.394 -0.245 0.005,0.394 -0.246 0.007,0.394 -0.246 0.010,0.394 -0.236 0.307,0.394 -0.246 0.297,0.394 -0.246 0.300,0.394 -0.239 0.307,0.394 -0.241 0.306,0.394 -0.245 0.302,0.394 -0.243 0.304]
}}
appearance Appearance{material USE PIN-01 }
}

View File

@ -0,0 +1,31 @@
(module C_0805_2012Metric (layer F.Cu) (tedit 5B36C52B)
(descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
(tags capacitor)
(attr smd)
(fp_text reference REF** (at 0 -1.65) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value C_0805_2012Metric (at 0 1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05))
(pad 1 smd roundrect (at -0.9375 0) (size 0.975 1.4) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.9375 0) (size 0.975 1.4) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.08)))
)
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,31 @@
(module C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5BD951B1)
(descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
(tags "capacitor handsolder")
(attr smd)
(fp_text reference REF** (at 0 -1.65) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (at 0 1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.08)))
)
(pad 1 smd roundrect (at -1.025 0) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391))
(pad 2 smd roundrect (at 1.025 0) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391))
(model ${KIPRJMOD}/tomu-fpga.pretty/C_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,561 @@
#VRML V2.0 utf8
#Exported from Wings 3D 1.5.2
DEF Cube1 Transform {
children [
Shape {
appearance Appearance {
material DEF metal Material {
diffuseColor 0.76 0.76 0.76
emissiveColor 0.001 0.001 0.001
specularColor 0.8668 0.8668 0.8668
ambientIntensity 0.8666666666666667
transparency 0.0
shininess 0.74
}
}
geometry IndexedFaceSet {
normalPerVertex TRUE
coord Coordinate { point [
-0.20236288341069414 -0.23760395663605077 0.5399163518285763,
-0.20741211658930606 -0.2401460433639491 0.5427697144823405,
-0.20741211658930606 -0.24014604336394924 0.0211283528674574,
-0.20236288341069414 -0.23760395663605088 0.023981715521221525,
-0.39124661079304157 -0.23226120334566425 0.02740368461170356,
-0.3855867225402913 -0.23992061483615354 0.020129047324818572,
-0.3855867225402913 -0.2399206148361534 0.5437690200249793,
-0.39124661079304157 -0.2322612033456641 0.5364943827380944,
0.19614190607865495 0.22556666461277122 0.543199033674899,
-0.196141906078655 0.22556666461277122 0.543199033674899,
-0.196141906078655 -0.22556666461277108 0.543199033674899,
0.19614190607865495 -0.22556666461277108 0.543199033674899,
-0.3855867225402913 -0.23112286166115936 0.5521248138568025,
-0.3855867225402913 0.23112286166115947 0.5521248138568025,
-0.39124661079304157 0.2234634501706702 0.5448501765699175,
-0.39124661079304157 -0.2234634501706701 0.5448501765699175,
-0.2166330939213452 -0.24500000000000002 0.013771510769519657,
-0.2166330939213452 -0.24499999999999988 0.5501265565802782,
-0.37652523941198784 -0.24499999999999988 0.5501265565802782,
-0.37652523941198784 -0.24500000000000002 0.013771510769519657,
-0.196141906078655 0.23275000000000007 0.5363765565802782,
0.19614190607865495 0.23275000000000007 0.5363765565802782,
0.19614190607865495 0.23274999999999993 0.027521510769519697,
-0.196141906078655 0.23274999999999993 0.027521510769519697,
-0.3855867225402913 0.2399206148361534 0.020129047324818572,
-0.39124661079304157 0.2322612033456641 0.02740368461170356,
-0.39124661079304157 0.23226120334566425 0.5364943827380944,
-0.3855867225402913 0.23992061483615354 0.5437690200249793,
0.2166330939213451 0.2378166646127711 0.006949033674898908,
0.37652523941198784 0.2378166646127711 0.006949033674898908,
0.37652523941198784 -0.23781666461277118 0.006949033674898908,
0.2166330939213451 -0.23781666461277118 0.006949033674898908,
-0.2166330939213452 0.24500000000000002 0.5501265565802782,
-0.2166330939213452 0.24499999999999988 0.013771510769519657,
-0.37652523941198784 0.24499999999999988 0.013771510769519657,
-0.37652523941198784 0.24500000000000002 0.5501265565802782,
0.20772462550971327 -0.23207510580364357 0.5519358540048795,
0.20772462550971327 0.2320751058036437 0.5519358540048795,
0.20205037449028676 0.22875768048840536 0.5482122133449184,
0.20205037449028676 -0.22875768048840522 0.5482122133449184,
0.37652523941198784 0.23781666461277118 0.556949033674899,
0.2166330939213451 0.23781666461277118 0.556949033674899,
0.2166330939213451 -0.2378166646127711 0.556949033674899,
0.37652523941198784 -0.2378166646127711 0.556949033674899,
0.2166330939213451 0.24500000000000002 0.5501265565802782,
0.37652523941198784 0.24500000000000002 0.5501265565802782,
0.37652523941198784 0.24499999999999988 0.013771510769519657,
0.2166330939213451 0.24499999999999988 0.013771510769519657,
-0.395 0.21999848279458886 0.5332034796572007,
-0.395 0.21999848279458875 0.03069458769259714,
-0.395 -0.21999848279458886 0.03069458769259714,
-0.395 -0.21999848279458875 0.5332034796572007,
-0.2166330939213452 0.2378166646127711 0.006949033674898908,
-0.2166330939213452 -0.23781666461277118 0.006949033674898908,
-0.37652523941198784 -0.23781666461277118 0.006949033674898908,
-0.37652523941198784 0.2378166646127711 0.006949033674898908,
-0.2166330939213452 -0.2378166646127711 0.556949033674899,
-0.2166330939213452 0.23781666461277118 0.556949033674899,
-0.37652523941198784 0.23781666461277118 0.556949033674899,
-0.37652523941198784 -0.2378166646127711 0.556949033674899,
0.39124661079304157 0.2234634501706702 0.5448501765699175,
0.3855867225402913 0.23112286166115947 0.5521248138568025,
0.3855867225402913 -0.23112286166115936 0.5521248138568025,
0.39124661079304157 -0.2234634501706701 0.5448501765699175,
0.39124661079304157 -0.2322612033456641 0.5364943827380944,
0.3855867225402913 -0.2399206148361534 0.5437690200249793,
0.3855867225402913 -0.23992061483615354 0.020129047324818572,
0.39124661079304157 -0.23226120334566425 0.02740368461170356,
-0.2020503744902869 0.22875768048840536 0.5482122133449184,
-0.2077246255097133 0.2320751058036437 0.5519358540048795,
-0.2077246255097133 -0.23207510580364357 0.5519358540048795,
-0.2020503744902869 -0.22875768048840522 0.5482122133449184,
0.20772462550971327 0.23207510580364357 0.011962213344918371,
0.20772462550971327 -0.2320751058036437 0.011962213344918371,
0.20205037449028676 -0.22875768048840536 0.01568585400487943,
0.20205037449028676 0.22875768048840522 0.01568585400487943,
-0.2077246255097133 0.23207510580364357 0.011962213344918371,
-0.2020503744902869 0.22875768048840522 0.01568585400487943,
-0.2020503744902869 -0.22875768048840536 0.01568585400487943,
-0.2077246255097133 -0.2320751058036437 0.011962213344918371,
0.395 0.21999848279458875 0.03069458769259714,
0.395 0.21999848279458886 0.5332034796572007,
0.395 -0.21999848279458875 0.5332034796572007,
0.395 -0.21999848279458886 0.03069458769259714,
0.3855867225402913 -0.23112286166115947 0.01177325349299535,
0.3855867225402913 0.23112286166115936 0.01177325349299535,
0.39124661079304157 0.2234634501706701 0.01904789077988034,
0.39124661079304157 -0.2234634501706702 0.01904789077988034,
0.37652523941198784 -0.24499999999999988 0.5501265565802782,
0.2166330939213451 -0.24499999999999988 0.5501265565802782,
0.2166330939213451 -0.24500000000000002 0.013771510769519657,
0.37652523941198784 -0.24500000000000002 0.013771510769519657,
0.20741211658930603 -0.24014604336394924 0.0211283528674574,
0.20741211658930603 -0.2401460433639491 0.5427697144823405,
0.202362883410694 -0.23760395663605077 0.5399163518285763,
0.202362883410694 -0.23760395663605088 0.023981715521221525,
-0.39124661079304157 0.2234634501706701 0.01904789077988034,
-0.3855867225402913 0.23112286166115936 0.01177325349299535,
-0.3855867225402913 -0.23112286166115947 0.01177325349299535,
-0.39124661079304157 -0.2234634501706702 0.01904789077988034,
-0.20741211658930606 0.24014604336394924 0.5427697144823405,
-0.20236288341069414 0.23760395663605088 0.5399163518285763,
-0.20236288341069414 0.23760395663605077 0.023981715521221525,
-0.20741211658930606 0.2401460433639491 0.0211283528674574,
0.20741211658930603 0.24014604336394924 0.5427697144823405,
0.20741211658930603 0.2401460433639491 0.0211283528674574,
0.202362883410694 0.23760395663605077 0.023981715521221525,
0.202362883410694 0.23760395663605088 0.5399163518285763,
-0.196141906078655 0.22556666461277108 0.020699033674898892,
0.19614190607865495 0.22556666461277108 0.020699033674898892,
0.19614190607865495 -0.22556666461277122 0.020699033674898892,
-0.196141906078655 -0.22556666461277122 0.020699033674898892,
0.3855867225402913 0.23992061483615354 0.5437690200249793,
0.39124661079304157 0.23226120334566425 0.5364943827380944,
0.39124661079304157 0.2322612033456641 0.02740368461170356,
0.3855867225402913 0.2399206148361534 0.020129047324818572,
0.19614190607865495 -0.23274999999999993 0.5363765565802782,
-0.196141906078655 -0.23274999999999993 0.5363765565802782,
-0.196141906078655 -0.23275000000000007 0.027521510769519697,
0.19614190607865495 -0.23275000000000007 0.027521510769519697 ] }
coordIndex [
0, 1, 2, 3, -1,
0, 3, 118, 117, -1,
0, 71, 70, 1, -1,
0, 117, 10, 71, -1,
1, 17, 16, 2, -1,
1, 70, 56, 17, -1,
2, 16, 53, 79, -1,
2, 79, 78, 3, -1,
3, 78, 111, 118, -1,
4, 5, 6, 7, -1,
4, 7, 51, 50, -1,
4, 50, 99, -1,
4, 99, 98, 5, -1,
5, 19, 18, 6, -1,
5, 98, 54, 19, -1,
6, 12, 15, 7, -1,
6, 18, 59, 12, -1,
7, 15, 51, -1,
8, 11, 39, 38, -1,
8, 38, 107, 21, -1,
9, 20, 101, 68, -1,
9, 68, 71, 10, -1,
11, 116, 94, 39, -1,
12, 13, 14, 15, -1,
12, 59, 58, 13, -1,
13, 27, 26, 14, -1,
13, 58, 35, 27, -1,
14, 26, 48, -1,
14, 48, 51, 15, -1,
16, 17, 18, 19, -1,
16, 19, 54, 53, -1,
17, 56, 59, 18, -1,
20, 23, 102, 101, -1,
21, 107, 106, 22, -1,
22, 106, 75, 109, -1,
23, 108, 77, 102, -1,
24, 25, 26, 27, -1,
24, 27, 35, 34, -1,
24, 34, 55, 97, -1,
24, 97, 96, 25, -1,
25, 49, 48, 26, -1,
25, 96, 49, -1,
28, 29, 30, 31, -1,
28, 31, 73, 72, -1,
28, 47, 46, 29, -1,
28, 72, 105, 47, -1,
29, 46, 115, 85, -1,
29, 85, 84, 30, -1,
30, 84, 66, 91, -1,
30, 91, 90, 31, -1,
31, 90, 92, 73, -1,
32, 33, 34, 35, -1,
32, 35, 58, 57, -1,
32, 57, 69, 100, -1,
32, 100, 103, 33, -1,
33, 52, 55, 34, -1,
33, 103, 76, 52, -1,
36, 37, 38, 39, -1,
36, 39, 94, 93, -1,
36, 42, 41, 37, -1,
36, 93, 89, 42, -1,
37, 41, 44, 104, -1,
37, 104, 107, 38, -1,
40, 41, 42, 43, -1,
40, 43, 62, 61, -1,
40, 45, 44, 41, -1,
40, 61, 112, 45, -1,
42, 89, 88, 43, -1,
43, 88, 65, 62, -1,
44, 45, 46, 47, -1,
44, 47, 105, 104, -1,
45, 112, 115, 46, -1,
48, 49, 50, 51, -1,
49, 96, 99, 50, -1,
52, 53, 54, 55, -1,
52, 76, 79, 53, -1,
54, 98, 97, 55, -1,
56, 57, 58, 59, -1,
56, 70, 69, 57, -1,
60, 61, 62, 63, -1,
60, 63, 82, 81, -1,
60, 81, 113, -1,
60, 113, 112, 61, -1,
62, 65, 64, 63, -1,
63, 64, 82, -1,
64, 65, 66, 67, -1,
64, 67, 83, 82, -1,
65, 88, 91, 66, -1,
66, 84, 87, 67, -1,
67, 87, 83, -1,
68, 69, 70, 71, -1,
68, 101, 100, 69, -1,
72, 73, 74, 75, -1,
72, 75, 106, 105, -1,
73, 92, 95, 74, -1,
74, 95, 119, 110, -1,
74, 110, 109, 75, -1,
76, 77, 78, 79, -1,
76, 103, 102, 77, -1,
77, 108, 111, 78, -1,
80, 81, 82, 83, -1,
80, 83, 87, 86, -1,
80, 86, 114, -1,
80, 114, 113, 81, -1,
84, 85, 86, 87, -1,
85, 115, 114, 86, -1,
88, 89, 90, 91, -1,
89, 93, 92, 90, -1,
92, 93, 94, 95, -1,
94, 116, 119, 95, -1,
96, 97, 98, 99, -1,
100, 101, 102, 103, -1,
104, 105, 106, 107, -1,
112, 113, 114, 115, -1 ]
normal Normal { vector [
0.6323034421944396 -0.7229631938143719 0.2784179904007387,
0.5870771173024348 -0.7593220182428827 0.2806608824745955,
0.5870771173024347 -0.7593220182428824 -0.2806608824745965,
0.6323034421944392 -0.7229631938143721 -0.2784179904007388,
-0.9178800261187309 -0.36803060818340777 -0.14849151185313186,
-0.7548485954495338 -0.6124943319721906 -0.23463650877432915,
-0.754848595449534 -0.6124943319721906 0.23463650877432896,
-0.917880026118731 -0.3680306081834077 0.1484915118531318,
-0.3837754245307618 0.33984229737647903 0.8586172817036182,
0.3837754245307584 0.3398422973764796 0.8586172817036194,
0.3837754245307585 -0.3398422973764793 0.8586172817036194,
-0.3837754245307619 -0.33984229737647875 0.8586172817036182,
-0.7436962552482885 -0.22221778142621362 0.6305038759180502,
-0.7436962552482882 0.22221778142621312 0.6305038759180507,
-0.9125164468326812 0.14097069403381318 0.3839804652368184,
-0.9125164468326814 -0.14097069403381335 0.38398046523681795,
0.32057254883731606 -0.8791042307000595 -0.3527165894839699,
0.32057254883731584 -0.8791042307000606 0.3527165894839673,
-0.3283778765633992 -0.8734406561216633 0.3595405268081351,
-0.32837787656339884 -0.8734406561216624 -0.3595405268081375,
0.37645199841292093 0.8551221858548346 0.3564406544570468,
-0.37645199841292426 0.8551221858548335 0.3564406544570462,
-0.3764519984129245 0.8551221858548332 -0.35644065445704654,
0.37645199841292115 0.8551221858548345 -0.3564406544570472,
-0.7548485954495338 0.6124943319721909 -0.23463650877432873,
-0.9178800261187309 0.368030608183408 -0.1484915118531316,
-0.917880026118731 0.36803060818340755 0.14849151185313222,
-0.7548485954495341 0.6124943319721903 0.2346365087743294,
-0.32666549446832704 0.34471985086775037 -0.8800328852613857,
0.3196374266813765 0.33795369915652396 -0.885222691016746,
0.31963742668137624 -0.3379536991565226 -0.8852226910167467,
-0.3266654944683266 -0.3447198508677492 -0.8800328852613865,
0.32057254883731573 0.8791042307000602 0.35271658948396833,
0.3205725488373161 0.8791042307000598 -0.35271658948396883,
-0.32837787656339873 0.873440656121663 -0.3595405268081363,
-0.32837787656339923 0.8734406561216628 0.35954052680813625,
-0.6183975212418976 -0.28762081628056235 0.7313403939096895,
-0.6183975212418976 0.2876208162805623 0.7313403939096895,
-0.662453500579779 0.27699538798337725 0.6960092776720256,
-0.6624535005797788 -0.27699538798337703 0.6960092776720259,
0.3196374266813794 0.33795369915652346 0.8852226910167452,
-0.32666549446832677 0.3447198508677508 0.8800328852613856,
-0.3266654944683272 -0.3447198508677519 0.880032885261385,
0.31963742668137973 -0.33795369915652473 0.8852226910167446,
-0.3205725488373171 0.8791042307000597 0.35271658948396817,
0.3283778765633993 0.8734406561216627 0.35954052680813636,
0.32837787656339873 0.873440656121663 -0.3595405268081363,
-0.3205725488373175 0.8791042307000594 -0.3527165894839686,
-0.9815087244457568 0.13200238304873935 0.13862176851532887,
-0.9815087244457567 0.1320023830487397 -0.13862176851532887,
-0.9815087244457567 -0.1320023830487396 -0.1386217685153291,
-0.9815087244457568 -0.13200238304873937 0.13862176851532854,
0.3266654944683256 0.3447198508677506 -0.8800328852613861,
0.3266654944683252 -0.34471985086774953 -0.8800328852613867,
-0.3196374266813762 -0.3379536991565227 -0.8852226910167467,
-0.3196374266813765 0.33795369915652396 -0.885222691016746,
0.3266654944683258 -0.34471985086775236 0.8800328852613855,
0.3266654944683254 0.3447198508677512 0.8800328852613861,
-0.3196374266813794 0.33795369915652346 0.8852226910167452,
-0.3196374266813798 -0.3379536991565249 0.8852226910167444,
0.9125164468326811 0.14097069403381335 0.38398046523681867,
0.7436962552482882 0.22221778142621312 0.6305038759180507,
0.7436962552482886 -0.2222177814262136 0.6305038759180501,
0.9125164468326814 -0.14097069403381335 0.38398046523681795,
0.917880026118731 -0.3680306081834077 0.1484915118531318,
0.754848595449534 -0.6124943319721906 0.23463650877432896,
0.7548485954495338 -0.6124943319721906 -0.23463650877432918,
0.9178800261187309 -0.36803060818340777 -0.14849151185313186,
0.66245350057978 0.2769953879833767 0.696009277672025,
0.6183975212419003 0.2876208162805614 0.7313403939096876,
0.6183975212419004 -0.2876208162805615 0.7313403939096874,
0.6624535005797799 -0.2769953879833765 0.6960092776720253,
-0.6183975212418956 0.2876208162805619 -0.7313403939096913,
-0.6183975212418957 -0.28762081628056174 -0.7313403939096914,
-0.6624535005797774 -0.27699538798337736 -0.6960092776720274,
-0.6624535005797771 0.27699538798337714 -0.6960092776720276,
0.6183975212418984 0.287620816280561 -0.7313403939096894,
0.6624535005797783 0.2769953879833766 -0.6960092776720268,
0.6624535005797784 -0.27699538798337686 -0.6960092776720266,
0.6183975212418984 -0.2876208162805609 -0.7313403939096894,
0.9815087244457567 0.13200238304873968 -0.13862176851532887,
0.9815087244457567 0.1320023830487395 0.13862176851532906,
0.9815087244457568 -0.13200238304873937 0.13862176851532854,
0.9815087244457567 -0.1320023830487396 -0.1386217685153291,
0.7436962552482872 -0.22221778142621373 -0.6305038759180517,
0.7436962552482875 0.22221778142621418 -0.6305038759180511,
0.9125164468326817 0.14097069403381365 -0.38398046523681695,
0.9125164468326816 -0.1409706940338134 -0.3839804652368173,
0.3283778765633992 -0.8734406561216633 0.3595405268081351,
-0.32057254883731723 -0.8791042307000602 0.352716589483967,
-0.3205725488373174 -0.879104230700059 -0.3527165894839697,
0.32837787656339884 -0.8734406561216624 -0.3595405268081375,
-0.5870771173024318 -0.7593220182428843 -0.2806608824745974,
-0.587077117302432 -0.7593220182428845 0.2806608824745964,
-0.6323034421944385 -0.7229631938143728 0.2784179904007392,
-0.6323034421944382 -0.722963193814373 -0.27841799040073917,
-0.9125164468326817 0.14097069403381368 -0.38398046523681695,
-0.7436962552482875 0.22221778142621418 -0.6305038759180512,
-0.7436962552482872 -0.22221778142621373 -0.6305038759180517,
-0.9125164468326816 -0.1409706940338134 -0.3839804652368173,
0.5870771173024348 0.7593220182428826 0.2806608824745954,
0.6323034421944397 0.722963193814372 0.2784179904007383,
0.6323034421944392 0.722963193814372 -0.2784179904007392,
0.5870771173024346 0.7593220182428824 -0.28066088247459653,
-0.587077117302432 0.7593220182428844 0.28066088247459636,
-0.5870771173024317 0.7593220182428841 -0.2806608824745975,
-0.6323034421944381 0.7229631938143729 -0.2784179904007397,
-0.6323034421944385 0.722963193814373 0.27841799040073867,
0.3837754245307585 0.3398422973764793 -0.8586172817036194,
-0.3837754245307619 0.33984229737647875 -0.8586172817036182,
-0.3837754245307618 -0.33984229737647903 -0.8586172817036182,
0.3837754245307584 -0.3398422973764796 -0.8586172817036194,
0.7548485954495341 0.6124943319721903 0.2346365087743294,
0.9178800261187309 0.3680306081834077 0.1484915118531324,
0.9178800261187309 0.36803060818340794 -0.14849151185313159,
0.7548485954495338 0.6124943319721909 -0.2346365087743287,
-0.3764519984129245 -0.8551221858548333 0.35644065445704654,
0.37645199841292115 -0.8551221858548345 0.3564406544570472,
0.37645199841292093 -0.8551221858548346 -0.3564406544570468,
-0.37645199841292426 -0.8551221858548335 -0.35644065445704626 ] }
normalIndex [
0, 1, 2, 3, -1,
0, 3, 118, 117, -1,
0, 71, 70, 1, -1,
0, 117, 10, 71, -1,
1, 17, 16, 2, -1,
1, 70, 56, 17, -1,
2, 16, 53, 79, -1,
2, 79, 78, 3, -1,
3, 78, 111, 118, -1,
4, 5, 6, 7, -1,
4, 7, 51, 50, -1,
4, 50, 99, -1,
4, 99, 98, 5, -1,
5, 19, 18, 6, -1,
5, 98, 54, 19, -1,
6, 12, 15, 7, -1,
6, 18, 59, 12, -1,
7, 15, 51, -1,
8, 11, 39, 38, -1,
8, 38, 107, 21, -1,
9, 20, 101, 68, -1,
9, 68, 71, 10, -1,
11, 116, 94, 39, -1,
12, 13, 14, 15, -1,
12, 59, 58, 13, -1,
13, 27, 26, 14, -1,
13, 58, 35, 27, -1,
14, 26, 48, -1,
14, 48, 51, 15, -1,
16, 17, 18, 19, -1,
16, 19, 54, 53, -1,
17, 56, 59, 18, -1,
20, 23, 102, 101, -1,
21, 107, 106, 22, -1,
22, 106, 75, 109, -1,
23, 108, 77, 102, -1,
24, 25, 26, 27, -1,
24, 27, 35, 34, -1,
24, 34, 55, 97, -1,
24, 97, 96, 25, -1,
25, 49, 48, 26, -1,
25, 96, 49, -1,
28, 29, 30, 31, -1,
28, 31, 73, 72, -1,
28, 47, 46, 29, -1,
28, 72, 105, 47, -1,
29, 46, 115, 85, -1,
29, 85, 84, 30, -1,
30, 84, 66, 91, -1,
30, 91, 90, 31, -1,
31, 90, 92, 73, -1,
32, 33, 34, 35, -1,
32, 35, 58, 57, -1,
32, 57, 69, 100, -1,
32, 100, 103, 33, -1,
33, 52, 55, 34, -1,
33, 103, 76, 52, -1,
36, 37, 38, 39, -1,
36, 39, 94, 93, -1,
36, 42, 41, 37, -1,
36, 93, 89, 42, -1,
37, 41, 44, 104, -1,
37, 104, 107, 38, -1,
40, 41, 42, 43, -1,
40, 43, 62, 61, -1,
40, 45, 44, 41, -1,
40, 61, 112, 45, -1,
42, 89, 88, 43, -1,
43, 88, 65, 62, -1,
44, 45, 46, 47, -1,
44, 47, 105, 104, -1,
45, 112, 115, 46, -1,
48, 49, 50, 51, -1,
49, 96, 99, 50, -1,
52, 53, 54, 55, -1,
52, 76, 79, 53, -1,
54, 98, 97, 55, -1,
56, 57, 58, 59, -1,
56, 70, 69, 57, -1,
60, 61, 62, 63, -1,
60, 63, 82, 81, -1,
60, 81, 113, -1,
60, 113, 112, 61, -1,
62, 65, 64, 63, -1,
63, 64, 82, -1,
64, 65, 66, 67, -1,
64, 67, 83, 82, -1,
65, 88, 91, 66, -1,
66, 84, 87, 67, -1,
67, 87, 83, -1,
68, 69, 70, 71, -1,
68, 101, 100, 69, -1,
72, 73, 74, 75, -1,
72, 75, 106, 105, -1,
73, 92, 95, 74, -1,
74, 95, 119, 110, -1,
74, 110, 109, 75, -1,
76, 77, 78, 79, -1,
76, 103, 102, 77, -1,
77, 108, 111, 78, -1,
80, 81, 82, 83, -1,
80, 83, 87, 86, -1,
80, 86, 114, -1,
80, 114, 113, 81, -1,
84, 85, 86, 87, -1,
85, 115, 114, 86, -1,
88, 89, 90, 91, -1,
89, 93, 92, 90, -1,
92, 93, 94, 95, -1,
94, 116, 119, 95, -1,
96, 97, 98, 99, -1,
100, 101, 102, 103, -1,
104, 105, 106, 107, -1,
112, 113, 114, 115, -1 ]
}
},
Shape {
appearance Appearance {
material DEF ceramic Material {
diffuseColor 0.66 0.39600888888888885 0.1364
emissiveColor 0.001 0.001 0.001
specularColor 0.22744 0.22744 0.22744
ambientIntensity 0.8666666666666667
transparency 0.0
shininess 0.22666666666666666
}
}
geometry IndexedFaceSet {
normalPerVertex TRUE
coord Coordinate { point [
0.19614190607865495 0.22556666461277122 0.543199033674899,
-0.196141906078655 0.22556666461277122 0.543199033674899,
-0.196141906078655 -0.22556666461277108 0.543199033674899,
0.19614190607865495 -0.22556666461277108 0.543199033674899,
-0.196141906078655 0.23275000000000007 0.5363765565802782,
0.19614190607865495 0.23275000000000007 0.5363765565802782,
0.19614190607865495 0.23274999999999993 0.027521510769519697,
-0.196141906078655 0.23274999999999993 0.027521510769519697,
-0.196141906078655 0.22556666461277108 0.020699033674898892,
0.19614190607865495 0.22556666461277108 0.020699033674898892,
0.19614190607865495 -0.22556666461277122 0.020699033674898892,
-0.196141906078655 -0.22556666461277122 0.020699033674898892,
0.19614190607865495 -0.23274999999999993 0.5363765565802782,
-0.196141906078655 -0.23274999999999993 0.5363765565802782,
-0.196141906078655 -0.23275000000000007 0.027521510769519697,
0.19614190607865495 -0.23275000000000007 0.027521510769519697 ] }
coordIndex [
0, 1, 2, 3, -1,
0, 5, 4, 1, -1,
2, 13, 12, 3, -1,
4, 5, 6, 7, -1,
6, 9, 8, 7, -1,
8, 9, 10, 11, -1,
10, 15, 14, 11, -1,
12, 13, 14, 15, -1 ]
normal Normal { vector [
-0.3837754245307618 0.33984229737647903 0.8586172817036182,
0.3837754245307584 0.3398422973764796 0.8586172817036194,
0.3837754245307585 -0.3398422973764793 0.8586172817036194,
-0.3837754245307619 -0.33984229737647875 0.8586172817036182,
0.37645199841292093 0.8551221858548346 0.3564406544570468,
-0.37645199841292426 0.8551221858548335 0.3564406544570462,
-0.3764519984129245 0.8551221858548332 -0.35644065445704654,
0.37645199841292115 0.8551221858548345 -0.3564406544570472,
0.3837754245307585 0.3398422973764793 -0.8586172817036194,
-0.3837754245307619 0.33984229737647875 -0.8586172817036182,
-0.3837754245307618 -0.33984229737647903 -0.8586172817036182,
0.3837754245307584 -0.3398422973764796 -0.8586172817036194,
-0.3764519984129245 -0.8551221858548333 0.35644065445704654,
0.37645199841292115 -0.8551221858548345 0.3564406544570472,
0.37645199841292093 -0.8551221858548346 -0.3564406544570468,
-0.37645199841292426 -0.8551221858548335 -0.35644065445704626 ] }
normalIndex [
0, 1, 2, 3, -1,
0, 5, 4, 1, -1,
2, 13, 12, 3, -1,
4, 5, 6, 7, -1,
6, 9, 8, 7, -1,
8, 9, 10, 11, -1,
10, 15, 14, 11, -1,
12, 13, 14, 15, -1 ]
}
}
]
}

View File

@ -1,14 +1,19 @@
(module LED-RGB-5DS-UHD1110-FKA (layer F.Cu) (tedit 5BD926C3)
(module LED-RGB-5DS-UHD1110-FKA (layer F.Cu) (tedit 5BD93E3D)
(fp_text reference REF** (at -0.1 0.8) (layer F.SilkS)
(effects (font (size 0.2 0.2) (thickness 0.05)))
)
(fp_text value "RGB LED" (at 0.1 -0.7) (layer F.Fab)
(effects (font (size 0.2 0.2) (thickness 0.05)))
)
(fp_line (start -0.6 -0.6) (end 0.6 -0.6) (layer F.CrtYd) (width 0.03))
(fp_line (start 0.6 -0.6) (end 0.6 0.6) (layer F.CrtYd) (width 0.03))
(fp_line (start 0.6 0.6) (end -0.6 0.6) (layer F.CrtYd) (width 0.03))
(fp_line (start -0.6 0.6) (end -0.6 -0.6) (layer F.CrtYd) (width 0.03))
(pad 1 smd rect (at -0.3 -0.3) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 0.3 -0.3) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -0.3 0.3) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 0.3 0.3) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad "" smd circle (at -0.8 -0.3 180) (size 0.3 0.3) (layers F.SilkS))
(model ${KISYS3DMOD}/LEDs.3dshapes/LED_WS2812B-PLCC4.wrl
(offset (xyz 0 0 -0.03))
(scale (xyz 0.07000000000000001 0.07000000000000001 0.05))

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,34 @@
(module LED_0805_2012Metric (layer F.Cu) (tedit 5BD93E58)
(descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
(tags diode)
(attr smd)
(fp_text reference REF** (at 0 -1.65) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value LED_0805_2012Metric (at 0 1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1))
(fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12))
(fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12))
(fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12))
(fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.08)))
)
(pad 1 smd roundrect (at -0.9375 0) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.9375 0) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad "" smd circle (at -2 0 180) (size 0.3 0.3) (layers F.SilkS))
(model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,34 @@
(module LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5BD95217)
(descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
(tags "LED handsolder")
(attr smd)
(fp_text reference REF** (at 0 -1.65) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder (at 0 1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1))
(fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 -0.96) (end -1.86 -0.96) (layer F.SilkS) (width 0.12))
(fp_line (start -1.86 -0.96) (end -1.86 0.96) (layer F.SilkS) (width 0.12))
(fp_line (start -1.86 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12))
(fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.08)))
)
(pad 1 smd roundrect (at -1.025 0) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391))
(pad 2 smd roundrect (at 1.025 0) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391))
(pad "" smd circle (at -2.2 0 180) (size 0.3 0.3) (layers F.SilkS))
(model ${KIPRJMOD}/tomu-fpga.pretty/LED_0805.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -1,4 +1,4 @@
(module MEMS-20005625B (layer F.Cu) (tedit 5BD9306F)
(module MEMS-20005625B (layer F.Cu) (tedit 5BD93E78)
(fp_text reference REF** (at 0.2 1.1) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.1)))
)
@ -15,6 +15,7 @@
(pad 4 smd rect (at -0.6 -0.375) (size 0.35 0.5) (layers F.Cu F.Paste F.Mask))
(pad 1 smd trapezoid (at -0.641 0.56 180) (size 0.13 0.13) (rect_delta 0 0.129 ) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at -0.705 0.31) (size 0.13 0.37) (layers F.Cu F.Paste F.Mask))
(pad "" smd circle (at -1.1 0.4 180) (size 0.3 0.3) (layers F.SilkS))
(model ${KISYS3DMOD}/Oscillators.3dshapes/Oscillator_SMD_TCXO_G158.wrl
(at (xyz 0 0 0))
(scale (xyz 0.06 0.1 0.05))

View File

@ -0,0 +1,75 @@
(module PinHeader_2x20_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC)
(descr "Through hole straight pin header, 2x20, 2.54mm pitch, double rows")
(tags "Through hole pin header THT 2x20 2.54mm double row")
(fp_text reference REF** (at 1.27 -2.33) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value PinHeader_2x20_P2.54mm_Vertical (at 1.27 50.59) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 3.81 -1.27) (end 3.81 49.53) (layer F.Fab) (width 0.1))
(fp_line (start 3.81 49.53) (end -1.27 49.53) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 49.53) (end -1.27 0) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 49.59) (end 3.87 49.59) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 49.59) (layer F.SilkS) (width 0.12))
(fp_line (start 3.87 -1.33) (end 3.87 49.59) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 50.05) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 50.05) (end 4.35 50.05) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.35 50.05) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 2 thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 3 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 4 thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 5 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 6 thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 7 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 8 thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 9 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 10 thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 11 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 12 thru_hole oval (at 2.54 12.7) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 13 thru_hole oval (at 0 15.24) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 14 thru_hole oval (at 2.54 15.24) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 15 thru_hole oval (at 0 17.78) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 16 thru_hole oval (at 2.54 17.78) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 17 thru_hole oval (at 0 20.32) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 18 thru_hole oval (at 2.54 20.32) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 19 thru_hole oval (at 0 22.86) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 20 thru_hole oval (at 2.54 22.86) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 21 thru_hole oval (at 0 25.4) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 22 thru_hole oval (at 2.54 25.4) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 23 thru_hole oval (at 0 27.94) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 24 thru_hole oval (at 2.54 27.94) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 25 thru_hole oval (at 0 30.48) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 26 thru_hole oval (at 2.54 30.48) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 27 thru_hole oval (at 0 33.02) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 28 thru_hole oval (at 2.54 33.02) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 29 thru_hole oval (at 0 35.56) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 30 thru_hole oval (at 2.54 35.56) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 31 thru_hole oval (at 0 38.1) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 32 thru_hole oval (at 2.54 38.1) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 33 thru_hole oval (at 0 40.64) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 34 thru_hole oval (at 2.54 40.64) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 35 thru_hole oval (at 0 43.18) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 36 thru_hole oval (at 2.54 43.18) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 37 thru_hole oval (at 0 45.72) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 38 thru_hole oval (at 2.54 45.72) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 39 thru_hole oval (at 0 48.26) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(pad 40 thru_hole oval (at 2.54 48.26) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask))
(fp_text user %R (at 1.27 24.13 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x20_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,101 @@
(module QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm (layer F.Cu) (tedit 5BD9505B)
(descr "QFN, 48 Pin (http://www.st.com/resource/en/datasheet/stm32f042k6.pdf (Page 94)), generated with kicad-footprint-generator ipc_dfn_qfn_generator.py")
(tags "QFN DFN_QFN")
(attr smd)
(fp_text reference REF** (at 0 -4.82) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm (at 0 4.82) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 3.135 -3.61) (end 3.61 -3.61) (layer F.SilkS) (width 0.12))
(fp_line (start 3.61 -3.61) (end 3.61 -3.135) (layer F.SilkS) (width 0.12))
(fp_line (start -3.135 3.61) (end -3.61 3.61) (layer F.SilkS) (width 0.12))
(fp_line (start -3.61 3.61) (end -3.61 3.135) (layer F.SilkS) (width 0.12))
(fp_line (start 3.135 3.61) (end 3.61 3.61) (layer F.SilkS) (width 0.12))
(fp_line (start 3.61 3.61) (end 3.61 3.135) (layer F.SilkS) (width 0.12))
(fp_line (start -3.135 -3.61) (end -3.61 -3.61) (layer F.SilkS) (width 0.12))
(fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer F.Fab) (width 0.1))
(fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer F.Fab) (width 0.1))
(fp_line (start 3.5 3.5) (end -3.5 3.5) (layer F.Fab) (width 0.1))
(fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer F.Fab) (width 0.1))
(fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer F.Fab) (width 0.1))
(fp_line (start -4.12 -4.12) (end -4.12 4.12) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.12 4.12) (end 4.12 4.12) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.12 4.12) (end 4.12 -4.12) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.12 -4.12) (end -4.12 -4.12) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 49 smd roundrect (at 0 0) (size 5.6 5.6) (layers F.Cu F.Mask) (roundrect_rratio 0.044643))
(pad "" smd roundrect (at -2.1 -2.1) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at -2.1 -0.7) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at -2.1 0.7) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at -2.1 2.1) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at -0.7 -2.1) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at -0.7 -0.7) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at -0.7 0.7) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at -0.7 2.1) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at 0.7 -2.1) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at 0.7 -0.7) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at 0.7 0.7) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at 0.7 2.1) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at 2.1 -2.1) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at 2.1 -0.7) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at 2.1 0.7) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad "" smd roundrect (at 2.1 2.1) (size 1.13 1.13) (layers F.Paste) (roundrect_rratio 0.221239))
(pad 1 smd roundrect (at -3.4375 -2.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at -3.4375 -2.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 3 smd roundrect (at -3.4375 -1.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 4 smd roundrect (at -3.4375 -1.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 5 smd roundrect (at -3.4375 -0.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 6 smd roundrect (at -3.4375 -0.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 7 smd roundrect (at -3.4375 0.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 8 smd roundrect (at -3.4375 0.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 9 smd roundrect (at -3.4375 1.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 10 smd roundrect (at -3.4375 1.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 11 smd roundrect (at -3.4375 2.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 12 smd roundrect (at -3.4375 2.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 13 smd roundrect (at -2.75 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 14 smd roundrect (at -2.25 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 15 smd roundrect (at -1.75 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 16 smd roundrect (at -1.25 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 17 smd roundrect (at -0.75 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 18 smd roundrect (at -0.25 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 19 smd roundrect (at 0.25 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 20 smd roundrect (at 0.75 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 21 smd roundrect (at 1.25 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 22 smd roundrect (at 1.75 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 23 smd roundrect (at 2.25 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 24 smd roundrect (at 2.75 3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 25 smd roundrect (at 3.4375 2.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 26 smd roundrect (at 3.4375 2.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 27 smd roundrect (at 3.4375 1.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 28 smd roundrect (at 3.4375 1.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 29 smd roundrect (at 3.4375 0.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 30 smd roundrect (at 3.4375 0.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 31 smd roundrect (at 3.4375 -0.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 32 smd roundrect (at 3.4375 -0.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 33 smd roundrect (at 3.4375 -1.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 34 smd roundrect (at 3.4375 -1.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 35 smd roundrect (at 3.4375 -2.25) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 36 smd roundrect (at 3.4375 -2.75) (size 0.875 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 37 smd roundrect (at 2.75 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 38 smd roundrect (at 2.25 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 39 smd roundrect (at 1.75 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 40 smd roundrect (at 1.25 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 41 smd roundrect (at 0.75 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 42 smd roundrect (at 0.25 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 43 smd roundrect (at -0.25 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 44 smd roundrect (at -0.75 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 45 smd roundrect (at -1.25 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 46 smd roundrect (at -1.75 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 47 smd roundrect (at -2.25 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 48 smd roundrect (at -2.75 -3.4375) (size 0.25 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad "" smd circle (at -4.2 -2.8 180) (size 0.3 0.3) (layers F.SilkS))
(model ${KIPRJMOD}/tomu-fpga.pretty/QFN-48-1EP_7x7mm_Pitch0.5mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,112 @@
(module QFN-48-1EP_7x7mm_Pitch0.5mm (layer F.Cu) (tedit 54130A77)
(descr "UK Package; 48-Lead Plastic QFN (7mm x 7mm); (see Linear Technology QFN_48_05-08-1704.pdf)")
(tags "QFN 0.5")
(attr smd)
(fp_text reference REF** (at 0 -4.75) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value QFN-48-1EP_7x7mm_Pitch0.5mm (at 0 4.75) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer F.Fab) (width 0.15))
(fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer F.Fab) (width 0.15))
(fp_line (start 3.5 3.5) (end -3.5 3.5) (layer F.Fab) (width 0.15))
(fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer F.Fab) (width 0.15))
(fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer F.Fab) (width 0.15))
(fp_line (start -4 -4) (end -4 4) (layer F.CrtYd) (width 0.05))
(fp_line (start 4 -4) (end 4 4) (layer F.CrtYd) (width 0.05))
(fp_line (start -4 -4) (end 4 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start -4 4) (end 4 4) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.625 -3.625) (end 3.625 -3.1) (layer F.SilkS) (width 0.15))
(fp_line (start -3.625 3.625) (end -3.625 3.1) (layer F.SilkS) (width 0.15))
(fp_line (start 3.625 3.625) (end 3.625 3.1) (layer F.SilkS) (width 0.15))
(fp_line (start -3.625 -3.625) (end -3.1 -3.625) (layer F.SilkS) (width 0.15))
(fp_line (start -3.625 3.625) (end -3.1 3.625) (layer F.SilkS) (width 0.15))
(fp_line (start 3.625 3.625) (end 3.1 3.625) (layer F.SilkS) (width 0.15))
(fp_line (start 3.625 -3.625) (end 3.1 -3.625) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -3.4 -2.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -3.4 -2.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -3.4 -1.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at -3.4 -1.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at -3.4 -0.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at -3.4 -0.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at -3.4 0.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 8 smd rect (at -3.4 0.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 9 smd rect (at -3.4 1.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 10 smd rect (at -3.4 1.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 11 smd rect (at -3.4 2.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 12 smd rect (at -3.4 2.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 13 smd rect (at -2.75 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 14 smd rect (at -2.25 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 15 smd rect (at -1.75 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 16 smd rect (at -1.25 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 17 smd rect (at -0.75 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 18 smd rect (at -0.25 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 19 smd rect (at 0.25 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 20 smd rect (at 0.75 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 21 smd rect (at 1.25 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 22 smd rect (at 1.75 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 23 smd rect (at 2.25 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 24 smd rect (at 2.75 3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 25 smd rect (at 3.4 2.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 26 smd rect (at 3.4 2.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 27 smd rect (at 3.4 1.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 28 smd rect (at 3.4 1.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 29 smd rect (at 3.4 0.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 30 smd rect (at 3.4 0.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 31 smd rect (at 3.4 -0.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 32 smd rect (at 3.4 -0.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 33 smd rect (at 3.4 -1.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 34 smd rect (at 3.4 -1.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 35 smd rect (at 3.4 -2.25) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 36 smd rect (at 3.4 -2.75) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 37 smd rect (at 2.75 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 38 smd rect (at 2.25 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 39 smd rect (at 1.75 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 40 smd rect (at 1.25 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 41 smd rect (at 0.75 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 42 smd rect (at 0.25 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 43 smd rect (at -0.25 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 44 smd rect (at -0.75 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 45 smd rect (at -1.25 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 46 smd rect (at -1.75 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 47 smd rect (at -2.25 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 48 smd rect (at -2.75 -3.4 90) (size 0.7 0.25) (layers F.Cu F.Paste F.Mask))
(pad 49 smd rect (at 1.93125 1.93125) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at 1.93125 0.64375) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at 1.93125 -0.64375) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at 1.93125 -1.93125) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at 0.64375 1.93125) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at 0.64375 0.64375) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at 0.64375 -0.64375) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at 0.64375 -1.93125) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at -0.64375 1.93125) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at -0.64375 0.64375) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at -0.64375 -0.64375) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at -0.64375 -1.93125) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at -1.93125 1.93125) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at -1.93125 0.64375) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at -1.93125 -0.64375) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(pad 49 smd rect (at -1.93125 -1.93125) (size 1.2875 1.2875) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin_ratio -0.2))
(model ${KISYS3DMOD}/Housings_DFN_QFN.3dshapes/QFN-48-1EP_7x7mm_Pitch0.5mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,204 @@
#VRML V2.0 utf8
#kicad StepUp wrl exported
Shape {
appearance Appearance {material DEF PIN-01 Material {
ambientIntensity 0.271
diffuseColor 0.824 0.82 0.781
specularColor 0.328 0.258 0.172
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.7
}
}
}
Shape {
appearance Appearance {material DEF RES-SMD-01 Material {
ambientIntensity 0.638
diffuseColor 0.082 0.086 0.094
specularColor 0.066 0.063 0.063
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.3
}
}
}
Shape {
appearance Appearance {material DEF PLASTIC-WHITE-01 Material {
ambientIntensity 0.494
diffuseColor 0.895 0.891 0.813
specularColor 0.047 0.055 0.109
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.25
}
}
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,1,4,2,-1,5,3,6,-1,3,2,6,-1,7,5,8,-1,5,6,8,-1,7,8,9,-1,10,7,11,-1,7,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [0.065 -0.065 0.006,0.065 -0.065 0.008,0.065 0.065 0.006,0.066 -0.065 0.004,0.065 0.065 0.008,0.067 -0.065 0.002,0.066 0.065 0.004,0.069 -0.065 0.001,0.067 0.065 0.002,0.069 0.065 0.001,0.071 -0.065 0.000,0.071 0.065 0.000,0.073 -0.065 0.000,0.073 0.065 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,2,5,4,-1,0,2,4,-1,4,5,6,-1,4,6,7,-1,6,8,9,-1,7,6,9,-1,10,11,12,-1,13,10,12,-1,8,13,12,-1,12,14,15,-1,8,12,15,-1,9,8,15,-1,16,17,18,-1,18,17,19,-1,19,17,20,-1,21,20,22,-1,17,23,22,-1,20,17,22,-1,9,15,24,-1,22,23,24,-1,24,15,25,-1,22,24,26,-1,24,25,26,-1,25,27,28,-1,29,26,30,-1,26,25,31,-1,30,26,31,-1,25,28,31,-1]
coord Coordinate { point [0.066 -0.065 0.004,0.067 -0.065 0.002,0.069 -0.065 0.001,0.065 -0.065 0.006,0.065 -0.065 0.008,0.071 -0.065 0.000,0.073 -0.065 0.000,0.065 -0.065 0.016,0.116 -0.065 0.000,0.108 -0.065 0.016,0.120 -0.065 0.001,0.122 -0.065 0.002,0.123 -0.065 0.004,0.118 -0.065 0.000,0.124 -0.065 0.006,0.124 -0.065 0.008,0.065 -0.065 0.085,0.065 -0.065 0.083,0.066 -0.065 0.087,0.067 -0.065 0.088,0.069 -0.065 0.089,0.071 -0.065 0.090,0.073 -0.065 0.091,0.065 -0.065 0.075,0.108 -0.065 0.075,0.124 -0.065 0.083,0.116 -0.065 0.091,0.124 -0.065 0.085,0.123 -0.065 0.087,0.118 -0.065 0.090,0.120 -0.065 0.089,0.122 -0.065 0.088]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.065 0.065 0.008,0.065 -0.065 0.008,0.065 -0.065 0.016,0.065 0.065 0.016]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.073 -0.065 0.000,0.073 0.065 0.000,0.116 0.065 0.000,0.116 -0.065 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,5,0,-1,3,0,2,-1,6,5,3,-1,7,6,3,-1,8,9,6,-1,8,6,7,-1,10,11,12,-1,10,12,13,-1,10,13,9,-1,14,15,10,-1,14,10,9,-1,14,9,8,-1,16,17,18,-1,19,17,16,-1,20,17,19,-1,21,20,22,-1,21,23,17,-1,21,17,20,-1,24,14,8,-1,24,23,21,-1,25,14,24,-1,26,24,21,-1,26,25,24,-1,27,28,25,-1,29,26,30,-1,31,25,26,-1,31,26,29,-1,31,27,25,-1]
coord Coordinate { point [0.069 0.065 0.001,0.067 0.065 0.002,0.066 0.065 0.004,0.065 0.065 0.008,0.065 0.065 0.006,0.071 0.065 0.000,0.073 0.065 0.000,0.065 0.065 0.016,0.108 0.065 0.016,0.116 0.065 0.000,0.123 0.065 0.004,0.122 0.065 0.002,0.120 0.065 0.001,0.118 0.065 0.000,0.124 0.065 0.008,0.124 0.065 0.006,0.066 0.065 0.087,0.065 0.065 0.083,0.065 0.065 0.085,0.067 0.065 0.088,0.069 0.065 0.089,0.073 0.065 0.091,0.071 0.065 0.090,0.065 0.065 0.075,0.108 0.065 0.075,0.124 0.065 0.083,0.116 0.065 0.091,0.123 0.065 0.087,0.124 0.065 0.085,0.120 0.065 0.089,0.118 0.065 0.090,0.122 0.065 0.088]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,0,4,1,-1,5,3,6,-1,5,0,3,-1,7,5,6,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,11,12,-1,10,8,9,-1,13,10,12,-1]
coord Coordinate { point [0.124 0.065 0.006,0.124 -0.065 0.008,0.124 -0.065 0.006,0.123 -0.065 0.004,0.124 0.065 0.008,0.123 0.065 0.004,0.122 -0.065 0.002,0.122 0.065 0.002,0.120 0.065 0.001,0.120 -0.065 0.001,0.118 0.065 0.000,0.118 -0.065 0.000,0.116 -0.065 0.000,0.116 0.065 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.124 -0.065 0.083,0.124 -0.065 0.008,0.124 0.065 0.008,0.124 0.065 0.083]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,2,1,4,-1,4,5,6,-1,2,4,6,-1,6,5,7,-1]
coord Coordinate { point [-0.108 -0.065 0.016,-0.065 -0.065 0.016,-0.065 -0.065 0.075,-0.108 -0.065 0.075,0.065 -0.065 0.016,0.108 -0.065 0.016,0.065 -0.065 0.075,0.108 -0.065 0.075]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,4,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [0.124 -0.065 0.085,0.124 -0.065 0.083,0.124 0.065 0.085,0.124 0.065 0.083,0.123 -0.065 0.087,0.123 0.065 0.087,0.122 -0.065 0.088,0.122 0.065 0.088,0.120 -0.065 0.089,0.120 0.065 0.089,0.118 -0.065 0.090,0.118 0.065 0.090,0.116 -0.065 0.091,0.116 0.065 0.091]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.116 0.065 0.091,0.073 0.065 0.091,0.073 -0.065 0.091,0.116 -0.065 0.091]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,4,5,-1,8,7,9,-1,8,6,7,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [0.065 0.065 0.085,0.065 -0.065 0.083,0.065 -0.065 0.085,0.065 0.065 0.083,0.066 0.065 0.087,0.066 -0.065 0.087,0.067 0.065 0.088,0.067 -0.065 0.088,0.069 0.065 0.089,0.069 -0.065 0.089,0.071 0.065 0.090,0.071 -0.065 0.090,0.073 0.065 0.091,0.073 -0.065 0.091]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,3,5,-1,3,2,5,-1]
coord Coordinate { point [-0.065 -0.065 0.075,0.065 -0.065 0.075,0.065 -0.065 0.083,-0.065 -0.065 0.083,-0.065 -0.065 0.091,0.065 -0.065 0.091]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.065 -0.065 0.016,-0.065 0.065 0.016,0.065 0.065 0.016,0.065 -0.065 0.016]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,1,0,-1,5,6,4,-1,5,4,0,-1,7,6,5,-1]
coord Coordinate { point [-0.065 0.065 0.075,-0.065 0.065 0.016,-0.108 0.065 0.016,-0.108 0.065 0.075,0.065 0.065 0.016,0.065 0.065 0.075,0.108 0.065 0.016,0.108 0.065 0.075]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,3,5,-1,4,0,3,-1]
coord Coordinate { point [0.065 0.065 0.083,0.065 0.065 0.075,-0.065 0.065 0.075,-0.065 0.065 0.083,0.065 0.065 0.091,-0.065 0.065 0.091]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,2,5,4,-1,0,2,4,-1,4,5,6,-1,4,6,7,-1,7,6,8,-1,9,10,11,-1,12,9,11,-1,8,12,11,-1,11,13,14,-1,8,11,14,-1,7,8,15,-1,8,14,15,-1,16,17,18,-1,18,17,19,-1,17,4,20,-1,4,7,20,-1,19,17,21,-1,22,21,23,-1,17,20,23,-1,21,17,23,-1,20,24,25,-1,23,20,25,-1,25,24,26,-1,26,27,28,-1,29,25,30,-1,25,26,31,-1,30,25,31,-1,26,28,31,-1]
coord Coordinate { point [-0.123 -0.065 0.004,-0.122 -0.065 0.002,-0.120 -0.065 0.001,-0.124 -0.065 0.006,-0.124 -0.065 0.008,-0.118 -0.065 0.000,-0.116 -0.065 0.000,-0.108 -0.065 0.016,-0.073 -0.065 0.000,-0.069 -0.065 0.001,-0.067 -0.065 0.002,-0.066 -0.065 0.004,-0.071 -0.065 0.000,-0.065 -0.065 0.006,-0.065 -0.065 0.008,-0.065 -0.065 0.016,-0.124 -0.065 0.085,-0.124 -0.065 0.083,-0.123 -0.065 0.087,-0.122 -0.065 0.088,-0.108 -0.065 0.075,-0.120 -0.065 0.089,-0.118 -0.065 0.090,-0.116 -0.065 0.091,-0.065 -0.065 0.075,-0.073 -0.065 0.091,-0.065 -0.065 0.083,-0.065 -0.065 0.085,-0.066 -0.065 0.087,-0.071 -0.065 0.090,-0.069 -0.065 0.089,-0.067 -0.065 0.088]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1]
coord Coordinate { point [0.065 -0.065 0.091,0.065 0.065 0.083,0.065 0.065 0.091,0.065 -0.065 0.083]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1]
coord Coordinate { point [-0.065 0.065 0.091,-0.065 0.065 0.083,-0.065 -0.065 0.091,-0.065 -0.065 0.083]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.065 0.065 0.091,-0.065 0.065 0.091,-0.065 -0.065 0.091,0.065 -0.065 0.091]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.065 -0.065 0.016,-0.065 -0.065 0.008,-0.065 0.065 0.008,-0.065 0.065 0.016]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,5,0,-1,3,0,2,-1,6,5,3,-1,7,6,3,-1,8,6,7,-1,9,10,11,-1,9,11,12,-1,9,12,8,-1,13,14,9,-1,13,9,8,-1,15,8,7,-1,15,13,8,-1,16,17,18,-1,19,17,16,-1,20,3,17,-1,20,7,3,-1,21,17,19,-1,22,21,23,-1,22,20,17,-1,22,17,21,-1,24,25,20,-1,24,20,22,-1,26,25,24,-1,27,28,26,-1,29,24,30,-1,31,26,24,-1,31,24,29,-1,31,27,26,-1]
coord Coordinate { point [-0.120 0.065 0.001,-0.122 0.065 0.002,-0.123 0.065 0.004,-0.124 0.065 0.008,-0.124 0.065 0.006,-0.118 0.065 0.000,-0.116 0.065 0.000,-0.108 0.065 0.016,-0.073 0.065 0.000,-0.066 0.065 0.004,-0.067 0.065 0.002,-0.069 0.065 0.001,-0.071 0.065 0.000,-0.065 0.065 0.008,-0.065 0.065 0.006,-0.065 0.065 0.016,-0.123 0.065 0.087,-0.124 0.065 0.083,-0.124 0.065 0.085,-0.122 0.065 0.088,-0.108 0.065 0.075,-0.120 0.065 0.089,-0.116 0.065 0.091,-0.118 0.065 0.090,-0.073 0.065 0.091,-0.065 0.065 0.075,-0.065 0.065 0.083,-0.066 0.065 0.087,-0.065 0.065 0.085,-0.069 0.065 0.089,-0.071 0.065 0.090,-0.067 0.065 0.088]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.116 -0.065 0.000,-0.116 0.065 0.000,-0.073 0.065 0.000,-0.073 -0.065 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,1,4,2,-1,5,3,6,-1,3,2,6,-1,7,5,8,-1,5,6,8,-1,7,8,9,-1,10,7,11,-1,7,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [-0.124 -0.065 0.006,-0.124 -0.065 0.008,-0.124 0.065 0.006,-0.123 -0.065 0.004,-0.124 0.065 0.008,-0.122 -0.065 0.002,-0.123 0.065 0.004,-0.120 -0.065 0.001,-0.122 0.065 0.002,-0.120 0.065 0.001,-0.118 -0.065 0.000,-0.118 0.065 0.000,-0.116 -0.065 0.000,-0.116 0.065 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,0,4,1,-1,5,3,6,-1,5,0,3,-1,7,5,6,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,11,12,-1,10,8,9,-1,13,10,12,-1]
coord Coordinate { point [-0.065 0.065 0.006,-0.065 -0.065 0.008,-0.065 -0.065 0.006,-0.066 -0.065 0.004,-0.065 0.065 0.008,-0.066 0.065 0.004,-0.067 -0.065 0.002,-0.067 0.065 0.002,-0.069 0.065 0.001,-0.069 -0.065 0.001,-0.071 0.065 0.000,-0.071 -0.065 0.000,-0.073 -0.065 0.000,-0.073 0.065 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.124 0.065 0.008,-0.124 -0.065 0.008,-0.124 -0.065 0.083,-0.124 0.065 0.083]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,4,5,-1,8,7,9,-1,8,6,7,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [-0.124 0.065 0.085,-0.124 -0.065 0.083,-0.124 -0.065 0.085,-0.124 0.065 0.083,-0.123 0.065 0.087,-0.123 -0.065 0.087,-0.122 0.065 0.088,-0.122 -0.065 0.088,-0.120 0.065 0.089,-0.120 -0.065 0.089,-0.118 0.065 0.090,-0.118 -0.065 0.090,-0.116 0.065 0.091,-0.116 -0.065 0.091]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.073 0.065 0.091,-0.116 0.065 0.091,-0.116 -0.065 0.091,-0.073 -0.065 0.091]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,4,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [-0.065 -0.065 0.085,-0.065 -0.065 0.083,-0.065 0.065 0.085,-0.065 0.065 0.083,-0.066 -0.065 0.087,-0.066 0.065 0.087,-0.067 -0.065 0.088,-0.067 0.065 0.088,-0.069 -0.065 0.089,-0.069 0.065 0.089,-0.071 -0.065 0.090,-0.071 0.065 0.090,-0.073 -0.065 0.091,-0.073 0.065 0.091]
}}
appearance Appearance{material USE PIN-01 }
}

View File

@ -0,0 +1,31 @@
(module R_0201_0603Metric (layer F.Cu) (tedit 5B301BBD)
(descr "Resistor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator")
(tags resistor)
(attr smd)
(fp_text reference REF** (at 0 -1.05) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value R_0201_0603Metric (at 0 1.05) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.3 0.15) (end -0.3 -0.15) (layer F.Fab) (width 0.1))
(fp_line (start -0.3 -0.15) (end 0.3 -0.15) (layer F.Fab) (width 0.1))
(fp_line (start 0.3 -0.15) (end 0.3 0.15) (layer F.Fab) (width 0.1))
(fp_line (start 0.3 0.15) (end -0.3 0.15) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 0.35) (end -0.7 -0.35) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.7 -0.35) (end 0.7 -0.35) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.7 -0.35) (end 0.7 0.35) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.7 0.35) (end -0.7 0.35) (layer F.CrtYd) (width 0.05))
(pad "" smd roundrect (at -0.345 0) (size 0.318 0.36) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 0.345 0) (size 0.318 0.36) (layers F.Paste) (roundrect_rratio 0.25))
(pad 1 smd roundrect (at -0.32 0) (size 0.46 0.4) (layers F.Cu F.Mask) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.32 0) (size 0.46 0.4) (layers F.Cu F.Mask) (roundrect_rratio 0.25))
(fp_text user %R (at 0 -0.68) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0201_0603Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,204 @@
#VRML V2.0 utf8
#kicad StepUp wrl exported
Shape {
appearance Appearance {material DEF PIN-01 Material {
ambientIntensity 0.271
diffuseColor 0.824 0.82 0.781
specularColor 0.328 0.258 0.172
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.7
}
}
}
Shape {
appearance Appearance {material DEF RES-SMD-01 Material {
ambientIntensity 0.638
diffuseColor 0.082 0.086 0.094
specularColor 0.066 0.063 0.063
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.3
}
}
}
Shape {
appearance Appearance {material DEF PLASTIC-WHITE-01 Material {
ambientIntensity 0.494
diffuseColor 0.895 0.891 0.813
specularColor 0.047 0.055 0.109
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.25
}
}
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.211 0.108 0.150,-0.211 0.108 0.008,-0.211 -0.108 0.008,-0.211 -0.108 0.150]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,1,5,6,-1,2,1,6,-1,4,2,6,-1,4,6,7,-1,7,6,8,-1,9,10,11,-1,8,9,12,-1,9,11,12,-1,12,13,14,-1,8,12,14,-1,7,8,15,-1,8,14,15,-1,4,7,16,-1,17,18,19,-1,16,7,20,-1,18,16,21,-1,22,19,21,-1,19,18,21,-1,16,20,23,-1,21,16,23,-1,20,24,25,-1,23,20,25,-1,25,24,26,-1,25,26,27,-1,25,27,28,-1,27,29,30,-1,31,28,30,-1,28,27,30,-1]
coord Coordinate { point [-0.208 -0.108 0.002,-0.207 -0.108 0.001,-0.210 -0.108 0.004,-0.210 -0.108 0.006,-0.211 -0.108 0.008,-0.205 -0.108 0.000,-0.203 -0.108 0.000,-0.191 -0.108 0.020,-0.093 -0.108 0.000,-0.090 -0.108 0.000,-0.089 -0.108 0.001,-0.087 -0.108 0.002,-0.086 -0.108 0.004,-0.085 -0.108 0.006,-0.085 -0.108 0.008,-0.085 -0.108 0.020,-0.211 -0.108 0.150,-0.210 -0.108 0.154,-0.210 -0.108 0.152,-0.208 -0.108 0.155,-0.191 -0.108 0.138,-0.205 -0.108 0.157,-0.207 -0.108 0.156,-0.203 -0.108 0.157,-0.085 -0.108 0.138,-0.093 -0.108 0.157,-0.085 -0.108 0.150,-0.085 -0.108 0.152,-0.090 -0.108 0.157,-0.086 -0.108 0.154,-0.087 -0.108 0.155,-0.089 -0.108 0.156]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,1,4,2,-1,5,3,6,-1,3,2,6,-1,7,5,8,-1,5,6,8,-1,9,7,10,-1,7,8,10,-1,11,9,12,-1,9,10,12,-1,11,12,13,-1]
coord Coordinate { point [-0.210 -0.108 0.006,-0.211 -0.108 0.008,-0.210 0.108 0.006,-0.210 -0.108 0.004,-0.211 0.108 0.008,-0.208 -0.108 0.002,-0.210 0.108 0.004,-0.207 -0.108 0.001,-0.208 0.108 0.002,-0.205 -0.108 0.000,-0.207 0.108 0.001,-0.203 -0.108 0.000,-0.205 0.108 0.000,-0.203 0.108 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,0,2,-1,5,4,6,-1,5,3,4,-1,7,6,8,-1,7,5,6,-1,9,8,10,-1,9,7,8,-1,11,9,10,-1,12,10,13,-1,12,11,10,-1]
coord Coordinate { point [-0.211 0.108 0.150,-0.211 -0.108 0.150,-0.210 -0.108 0.152,-0.210 0.108 0.152,-0.210 -0.108 0.154,-0.210 0.108 0.154,-0.208 -0.108 0.155,-0.208 0.108 0.155,-0.207 -0.108 0.156,-0.207 0.108 0.156,-0.205 -0.108 0.157,-0.205 0.108 0.157,-0.203 0.108 0.157,-0.203 -0.108 0.157]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,5,6,1,-1,5,1,0,-1,5,0,3,-1,7,5,3,-1,8,5,7,-1,9,10,11,-1,12,11,8,-1,12,9,11,-1,13,14,12,-1,13,12,8,-1,15,8,7,-1,15,13,8,-1,16,7,3,-1,17,18,19,-1,20,7,16,-1,21,16,18,-1,21,17,22,-1,21,18,17,-1,23,20,16,-1,23,16,21,-1,24,25,20,-1,24,20,23,-1,26,25,24,-1,27,26,24,-1,28,27,24,-1,29,30,27,-1,29,28,31,-1,29,27,28,-1]
coord Coordinate { point [-0.210 0.108 0.004,-0.207 0.108 0.001,-0.208 0.108 0.002,-0.211 0.108 0.008,-0.210 0.108 0.006,-0.203 0.108 0.000,-0.205 0.108 0.000,-0.191 0.108 0.020,-0.093 0.108 0.000,-0.087 0.108 0.002,-0.089 0.108 0.001,-0.090 0.108 0.000,-0.086 0.108 0.004,-0.085 0.108 0.008,-0.085 0.108 0.006,-0.085 0.108 0.020,-0.211 0.108 0.150,-0.208 0.108 0.155,-0.210 0.108 0.152,-0.210 0.108 0.154,-0.191 0.108 0.138,-0.205 0.108 0.157,-0.207 0.108 0.156,-0.203 0.108 0.157,-0.093 0.108 0.157,-0.085 0.108 0.138,-0.085 0.108 0.150,-0.085 0.108 0.152,-0.090 0.108 0.157,-0.087 0.108 0.155,-0.086 0.108 0.154,-0.089 0.108 0.156]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.093 -0.108 0.000,-0.203 -0.108 0.000,-0.203 0.108 0.000,-0.093 0.108 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,0,4,1,-1,5,3,6,-1,5,0,3,-1,7,6,8,-1,7,5,6,-1,9,8,10,-1,9,7,8,-1,11,10,12,-1,11,9,10,-1,13,11,12,-1]
coord Coordinate { point [-0.085 0.108 0.006,-0.085 -0.108 0.008,-0.085 -0.108 0.006,-0.086 -0.108 0.004,-0.085 0.108 0.008,-0.086 0.108 0.004,-0.087 -0.108 0.002,-0.087 0.108 0.002,-0.089 -0.108 0.001,-0.089 0.108 0.001,-0.090 -0.108 0.000,-0.090 0.108 0.000,-0.093 -0.108 0.000,-0.093 0.108 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.085 -0.108 0.020,-0.085 -0.108 0.008,-0.085 0.108 0.008,-0.085 0.108 0.020]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,2,1,4,-1,4,5,6,-1,2,4,6,-1,6,5,7,-1]
coord Coordinate { point [-0.191 -0.108 0.020,-0.085 -0.108 0.020,-0.085 -0.108 0.138,-0.191 -0.108 0.138,0.085 -0.108 0.020,0.191 -0.108 0.020,0.085 -0.108 0.138,0.191 -0.108 0.138]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.203 0.108 0.157,-0.203 -0.108 0.157,-0.093 -0.108 0.157,-0.093 0.108 0.157]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,0,2,4,-1,5,3,6,-1,3,4,6,-1,7,5,8,-1,5,6,8,-1,9,7,10,-1,7,8,10,-1,9,10,11,-1,12,9,13,-1,9,11,13,-1]
coord Coordinate { point [-0.085 -0.108 0.152,-0.085 -0.108 0.150,-0.085 0.108 0.150,-0.086 -0.108 0.154,-0.085 0.108 0.152,-0.087 -0.108 0.155,-0.086 0.108 0.154,-0.089 -0.108 0.156,-0.087 0.108 0.155,-0.090 -0.108 0.157,-0.089 0.108 0.156,-0.090 0.108 0.157,-0.093 -0.108 0.157,-0.093 0.108 0.157]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,3,5,-1,3,2,5,-1]
coord Coordinate { point [-0.085 -0.108 0.138,0.085 -0.108 0.138,0.085 -0.108 0.150,-0.085 -0.108 0.150,-0.085 -0.108 0.157,0.085 -0.108 0.157]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,1,0,-1,5,6,4,-1,5,4,0,-1,7,6,5,-1]
coord Coordinate { point [-0.085 0.108 0.138,-0.085 0.108 0.020,-0.191 0.108 0.020,-0.191 0.108 0.138,0.085 0.108 0.020,0.085 0.108 0.138,0.191 0.108 0.020,0.191 0.108 0.138]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,3,5,-1,4,0,3,-1]
coord Coordinate { point [0.085 0.108 0.150,0.085 0.108 0.138,-0.085 0.108 0.138,-0.085 0.108 0.150,0.085 0.108 0.157,-0.085 0.108 0.157]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.085 -0.108 0.020,-0.085 0.108 0.020,0.085 0.108 0.020,0.085 -0.108 0.020]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,1,5,6,-1,2,1,6,-1,4,2,6,-1,4,6,7,-1,6,8,9,-1,7,6,9,-1,10,11,12,-1,8,10,13,-1,10,12,13,-1,13,14,15,-1,8,13,15,-1,9,8,15,-1,16,17,18,-1,19,16,18,-1,20,18,21,-1,18,17,21,-1,17,22,23,-1,21,17,23,-1,23,22,24,-1,24,9,25,-1,9,15,25,-1,24,25,26,-1,23,24,26,-1,26,25,27,-1,25,28,29,-1,28,30,29,-1,31,27,29,-1,27,25,29,-1]
coord Coordinate { point [0.087 -0.108 0.002,0.089 -0.108 0.001,0.086 -0.108 0.004,0.085 -0.108 0.006,0.085 -0.108 0.008,0.090 -0.108 0.000,0.093 -0.108 0.000,0.085 -0.108 0.020,0.203 -0.108 0.000,0.191 -0.108 0.020,0.205 -0.108 0.000,0.207 -0.108 0.001,0.208 -0.108 0.002,0.210 -0.108 0.004,0.210 -0.108 0.006,0.211 -0.108 0.008,0.085 -0.108 0.152,0.085 -0.108 0.150,0.087 -0.108 0.155,0.086 -0.108 0.154,0.089 -0.108 0.156,0.090 -0.108 0.157,0.085 -0.108 0.138,0.093 -0.108 0.157,0.191 -0.108 0.138,0.211 -0.108 0.150,0.203 -0.108 0.157,0.205 -0.108 0.157,0.210 -0.108 0.152,0.208 -0.108 0.155,0.210 -0.108 0.154,0.207 -0.108 0.156]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.085 0.108 0.157,-0.085 0.108 0.150,-0.085 -0.108 0.150,-0.085 -0.108 0.157]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.085 -0.108 0.150,0.085 0.108 0.150,0.085 0.108 0.157,0.085 -0.108 0.157]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.085 0.108 0.157,-0.085 0.108 0.157,-0.085 -0.108 0.157,0.085 -0.108 0.157]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,5,6,1,-1,5,1,0,-1,5,0,3,-1,7,5,3,-1,8,9,5,-1,8,5,7,-1,10,11,12,-1,13,12,9,-1,13,10,12,-1,14,15,13,-1,14,13,9,-1,14,9,8,-1,16,17,18,-1,16,18,19,-1,20,16,21,-1,20,17,16,-1,22,23,17,-1,22,17,20,-1,24,23,22,-1,25,8,24,-1,25,14,8,-1,26,25,24,-1,26,24,22,-1,27,25,26,-1,28,29,25,-1,28,30,29,-1,28,27,31,-1,28,25,27,-1]
coord Coordinate { point [0.086 0.108 0.004,0.089 0.108 0.001,0.087 0.108 0.002,0.085 0.108 0.008,0.085 0.108 0.006,0.093 0.108 0.000,0.090 0.108 0.000,0.085 0.108 0.020,0.191 0.108 0.020,0.203 0.108 0.000,0.208 0.108 0.002,0.207 0.108 0.001,0.205 0.108 0.000,0.210 0.108 0.004,0.211 0.108 0.008,0.210 0.108 0.006,0.087 0.108 0.155,0.085 0.108 0.150,0.085 0.108 0.152,0.086 0.108 0.154,0.090 0.108 0.157,0.089 0.108 0.156,0.093 0.108 0.157,0.085 0.108 0.138,0.191 0.108 0.138,0.211 0.108 0.150,0.203 0.108 0.157,0.205 0.108 0.157,0.208 0.108 0.155,0.210 0.108 0.152,0.210 0.108 0.154,0.207 0.108 0.156]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.085 0.108 0.008,0.085 -0.108 0.008,0.085 -0.108 0.020,0.085 0.108 0.020]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.093 -0.108 0.000,0.093 0.108 0.000,0.203 0.108 0.000,0.203 -0.108 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,1,4,2,-1,5,3,6,-1,3,2,6,-1,7,5,8,-1,5,6,8,-1,9,7,10,-1,7,8,10,-1,11,9,12,-1,9,10,12,-1,11,12,13,-1]
coord Coordinate { point [0.085 -0.108 0.006,0.085 -0.108 0.008,0.085 0.108 0.006,0.086 -0.108 0.004,0.085 0.108 0.008,0.087 -0.108 0.002,0.086 0.108 0.004,0.089 -0.108 0.001,0.087 0.108 0.002,0.090 -0.108 0.000,0.089 0.108 0.001,0.093 -0.108 0.000,0.090 0.108 0.000,0.093 0.108 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,0,4,1,-1,5,3,6,-1,5,0,3,-1,7,6,8,-1,7,5,6,-1,9,8,10,-1,9,7,8,-1,11,10,12,-1,11,9,10,-1,13,11,12,-1]
coord Coordinate { point [0.210 0.108 0.006,0.211 -0.108 0.008,0.210 -0.108 0.006,0.210 -0.108 0.004,0.211 0.108 0.008,0.210 0.108 0.004,0.208 -0.108 0.002,0.208 0.108 0.002,0.207 -0.108 0.001,0.207 0.108 0.001,0.205 -0.108 0.000,0.205 0.108 0.000,0.203 -0.108 0.000,0.203 0.108 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.211 -0.108 0.008,0.211 0.108 0.008,0.211 0.108 0.150,0.211 -0.108 0.150]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,0,2,4,-1,5,3,6,-1,3,4,6,-1,7,5,8,-1,5,6,8,-1,9,7,10,-1,7,8,10,-1,9,10,11,-1,12,9,13,-1,9,11,13,-1]
coord Coordinate { point [0.210 -0.108 0.152,0.211 -0.108 0.150,0.211 0.108 0.150,0.210 -0.108 0.154,0.210 0.108 0.152,0.208 -0.108 0.155,0.210 0.108 0.154,0.207 -0.108 0.156,0.208 0.108 0.155,0.205 -0.108 0.157,0.207 0.108 0.156,0.205 0.108 0.157,0.203 -0.108 0.157,0.203 0.108 0.157]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.203 0.108 0.157,0.093 0.108 0.157,0.093 -0.108 0.157,0.203 -0.108 0.157]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,0,2,-1,5,4,6,-1,5,3,4,-1,7,6,8,-1,7,5,6,-1,9,8,10,-1,9,7,8,-1,11,9,10,-1,12,10,13,-1,12,11,10,-1]
coord Coordinate { point [0.085 0.108 0.150,0.085 -0.108 0.150,0.085 -0.108 0.152,0.085 0.108 0.152,0.086 -0.108 0.154,0.086 0.108 0.154,0.087 -0.108 0.155,0.087 0.108 0.155,0.089 -0.108 0.156,0.089 0.108 0.156,0.090 -0.108 0.157,0.090 0.108 0.157,0.093 0.108 0.157,0.093 -0.108 0.157]
}}
appearance Appearance{material USE PIN-01 }
}

View File

@ -0,0 +1,29 @@
(module R_0402_1005Metric (layer F.Cu) (tedit 5B301BBD)
(descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(attr smd)
(fp_text reference REF** (at 0 -1.17) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value R_0402_1005Metric (at 0 1.17) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,204 @@
#VRML V2.0 utf8
#kicad StepUp wrl exported
Shape {
appearance Appearance {material DEF PIN-01 Material {
ambientIntensity 0.271
diffuseColor 0.824 0.82 0.781
specularColor 0.328 0.258 0.172
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.7
}
}
}
Shape {
appearance Appearance {material DEF RES-SMD-01 Material {
ambientIntensity 0.638
diffuseColor 0.082 0.086 0.094
specularColor 0.066 0.063 0.063
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.3
}
}
}
Shape {
appearance Appearance {material DEF PLASTIC-WHITE-01 Material {
ambientIntensity 0.494
diffuseColor 0.895 0.891 0.813
specularColor 0.047 0.055 0.109
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.25
}
}
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,0,2,4,-1,5,3,6,-1,3,4,6,-1,5,6,7,-1,8,5,9,-1,10,8,9,-1,5,7,9,-1,11,10,12,-1,10,9,12,-1,11,12,13,-1]
coord Coordinate { point [0.148 -0.167 0.006,0.148 -0.167 0.008,0.148 0.167 0.008,0.149 -0.167 0.004,0.148 0.167 0.006,0.150 -0.167 0.002,0.149 0.167 0.004,0.150 0.167 0.002,0.152 -0.167 0.001,0.152 0.167 0.001,0.153 -0.167 0.000,0.156 -0.167 0.000,0.153 0.167 0.000,0.156 0.167 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,4,-1,3,5,4,-1,5,6,4,-1,2,1,4,-1,2,4,7,-1,8,9,10,-1,4,11,12,-1,7,4,12,-1,11,8,13,-1,8,10,13,-1,13,14,15,-1,11,13,15,-1,12,11,15,-1,16,17,18,-1,19,16,18,-1,18,17,20,-1,21,20,22,-1,17,23,22,-1,20,17,22,-1,22,23,24,-1,22,24,25,-1,24,12,26,-1,12,15,26,-1,25,24,26,-1,25,26,27,-1,26,28,29,-1,27,26,29,-1,27,29,30,-1,30,29,31,-1]
coord Coordinate { point [0.148 -0.167 0.006,0.149 -0.167 0.004,0.148 -0.167 0.008,0.150 -0.167 0.002,0.156 -0.167 0.000,0.152 -0.167 0.001,0.153 -0.167 0.000,0.148 -0.167 0.020,0.299 -0.167 0.000,0.301 -0.167 0.001,0.303 -0.167 0.002,0.297 -0.167 0.000,0.285 -0.167 0.020,0.304 -0.167 0.004,0.305 -0.167 0.006,0.305 -0.167 0.008,0.148 -0.167 0.171,0.148 -0.167 0.169,0.150 -0.167 0.175,0.149 -0.167 0.173,0.152 -0.167 0.176,0.153 -0.167 0.177,0.156 -0.167 0.177,0.148 -0.167 0.157,0.285 -0.167 0.157,0.297 -0.167 0.177,0.305 -0.167 0.169,0.299 -0.167 0.177,0.305 -0.167 0.171,0.304 -0.167 0.173,0.301 -0.167 0.176,0.303 -0.167 0.175]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.148 0.167 0.020,0.148 0.167 0.008,0.148 -0.167 0.008,0.148 -0.167 0.020]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.297 -0.167 0.000,0.156 -0.167 0.000,0.156 0.167 0.000,0.297 0.167 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,1,-1,3,5,4,-1,3,6,5,-1,3,1,0,-1,7,3,0,-1,8,9,10,-1,11,12,3,-1,11,3,7,-1,13,10,12,-1,13,8,10,-1,14,15,13,-1,14,13,12,-1,14,12,11,-1,16,17,18,-1,16,18,19,-1,20,17,16,-1,21,20,22,-1,21,23,17,-1,21,17,20,-1,24,23,21,-1,25,24,21,-1,26,11,24,-1,26,14,11,-1,26,24,25,-1,27,26,25,-1,28,29,26,-1,28,26,27,-1,30,28,27,-1,31,28,30,-1]
coord Coordinate { point [0.148 0.167 0.008,0.149 0.167 0.004,0.148 0.167 0.006,0.156 0.167 0.000,0.150 0.167 0.002,0.152 0.167 0.001,0.153 0.167 0.000,0.148 0.167 0.020,0.303 0.167 0.002,0.301 0.167 0.001,0.299 0.167 0.000,0.285 0.167 0.020,0.297 0.167 0.000,0.304 0.167 0.004,0.305 0.167 0.008,0.305 0.167 0.006,0.150 0.167 0.175,0.148 0.167 0.169,0.148 0.167 0.171,0.149 0.167 0.173,0.152 0.167 0.176,0.156 0.167 0.177,0.153 0.167 0.177,0.148 0.167 0.157,0.285 0.167 0.157,0.297 0.167 0.177,0.305 0.167 0.169,0.299 0.167 0.177,0.304 0.167 0.173,0.305 0.167 0.171,0.301 0.167 0.176,0.303 0.167 0.175]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,2,5,-1,4,5,6,-1,4,3,2,-1,7,6,8,-1,7,4,6,-1,9,7,8,-1,10,8,11,-1,10,9,8,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [0.305 0.167 0.008,0.305 -0.167 0.008,0.305 -0.167 0.006,0.305 0.167 0.006,0.304 0.167 0.004,0.304 -0.167 0.004,0.303 -0.167 0.002,0.303 0.167 0.002,0.301 -0.167 0.001,0.301 0.167 0.001,0.299 0.167 0.000,0.299 -0.167 0.000,0.297 0.167 0.000,0.297 -0.167 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.305 -0.167 0.169,0.305 -0.167 0.008,0.305 0.167 0.008,0.305 0.167 0.169]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,2,1,4,-1,4,5,6,-1,2,4,6,-1,6,5,7,-1]
coord Coordinate { point [-0.285 -0.167 0.020,-0.148 -0.167 0.020,-0.148 -0.167 0.157,-0.285 -0.167 0.157,0.148 -0.167 0.020,0.285 -0.167 0.020,0.148 -0.167 0.157,0.285 -0.167 0.157]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,1,4,2,-1,3,2,5,-1,6,3,7,-1,8,6,7,-1,3,5,7,-1,8,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [0.305 -0.167 0.171,0.305 -0.167 0.169,0.305 0.167 0.171,0.304 -0.167 0.173,0.305 0.167 0.169,0.304 0.167 0.173,0.303 -0.167 0.175,0.303 0.167 0.175,0.301 -0.167 0.176,0.301 0.167 0.176,0.299 -0.167 0.177,0.299 0.167 0.177,0.297 -0.167 0.177,0.297 0.167 0.177]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.156 0.167 0.177,0.156 -0.167 0.177,0.297 -0.167 0.177,0.297 0.167 0.177]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,0,2,-1,5,4,6,-1,5,3,4,-1,7,6,8,-1,7,5,6,-1,9,8,10,-1,9,7,8,-1,11,10,12,-1,11,9,10,-1,13,11,12,-1]
coord Coordinate { point [0.148 0.167 0.169,0.148 -0.167 0.169,0.148 -0.167 0.171,0.148 0.167 0.171,0.149 -0.167 0.173,0.149 0.167 0.173,0.150 -0.167 0.175,0.150 0.167 0.175,0.152 -0.167 0.176,0.152 0.167 0.176,0.153 -0.167 0.177,0.153 0.167 0.177,0.156 -0.167 0.177,0.156 0.167 0.177]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,0,5,-1,0,3,5,-1]
coord Coordinate { point [-0.148 -0.167 0.169,-0.148 -0.167 0.157,0.148 -0.167 0.157,0.148 -0.167 0.169,-0.148 -0.167 0.177,0.148 -0.167 0.177]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.148 -0.167 0.020,-0.148 0.167 0.020,0.148 0.167 0.020,0.148 -0.167 0.020]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,1,0,-1,5,6,4,-1,5,4,0,-1,7,6,5,-1]
coord Coordinate { point [-0.148 0.167 0.157,-0.148 0.167 0.020,-0.285 0.167 0.020,-0.285 0.167 0.157,0.148 0.167 0.020,0.148 0.167 0.157,0.285 0.167 0.020,0.285 0.167 0.157]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,2,5,-1,4,3,2,-1]
coord Coordinate { point [0.148 0.167 0.157,-0.148 0.167 0.157,-0.148 0.167 0.169,0.148 0.167 0.169,0.148 0.167 0.177,-0.148 0.167 0.177]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,4,-1,3,5,4,-1,5,6,4,-1,2,1,4,-1,2,4,7,-1,7,4,8,-1,9,10,11,-1,8,9,12,-1,9,11,12,-1,12,13,14,-1,8,12,14,-1,7,8,15,-1,8,14,15,-1,16,2,17,-1,2,7,17,-1,18,16,19,-1,20,18,19,-1,19,16,21,-1,22,21,23,-1,16,17,23,-1,21,16,23,-1,17,24,25,-1,23,17,25,-1,25,24,26,-1,26,27,28,-1,29,25,28,-1,25,26,28,-1,29,28,30,-1,30,28,31,-1]
coord Coordinate { point [-0.305 -0.167 0.006,-0.304 -0.167 0.004,-0.305 -0.167 0.008,-0.303 -0.167 0.002,-0.297 -0.167 0.000,-0.301 -0.167 0.001,-0.299 -0.167 0.000,-0.285 -0.167 0.020,-0.156 -0.167 0.000,-0.153 -0.167 0.000,-0.152 -0.167 0.001,-0.150 -0.167 0.002,-0.149 -0.167 0.004,-0.148 -0.167 0.006,-0.148 -0.167 0.008,-0.148 -0.167 0.020,-0.305 -0.167 0.169,-0.285 -0.167 0.157,-0.305 -0.167 0.171,-0.303 -0.167 0.175,-0.304 -0.167 0.173,-0.301 -0.167 0.176,-0.299 -0.167 0.177,-0.297 -0.167 0.177,-0.148 -0.167 0.157,-0.156 -0.167 0.177,-0.148 -0.167 0.169,-0.148 -0.167 0.171,-0.149 -0.167 0.173,-0.153 -0.167 0.177,-0.152 -0.167 0.176,-0.150 -0.167 0.175]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1]
coord Coordinate { point [0.148 -0.167 0.177,0.148 0.167 0.169,0.148 0.167 0.177,0.148 -0.167 0.169]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1]
coord Coordinate { point [-0.148 0.167 0.177,-0.148 0.167 0.169,-0.148 -0.167 0.177,-0.148 -0.167 0.169]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.148 0.167 0.177,-0.148 0.167 0.177,-0.148 -0.167 0.177,0.148 -0.167 0.177]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.148 -0.167 0.008,-0.148 0.167 0.008,-0.148 0.167 0.020,-0.148 -0.167 0.020]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,1,-1,3,5,4,-1,3,6,5,-1,3,1,0,-1,7,3,0,-1,8,3,7,-1,9,10,11,-1,12,11,8,-1,12,9,11,-1,13,14,12,-1,13,12,8,-1,15,8,7,-1,15,13,8,-1,16,0,17,-1,16,7,0,-1,18,17,19,-1,18,19,20,-1,21,17,18,-1,22,21,23,-1,22,16,17,-1,22,17,21,-1,24,25,16,-1,24,16,22,-1,26,25,24,-1,27,28,26,-1,27,24,29,-1,27,26,24,-1,30,27,29,-1,31,27,30,-1]
coord Coordinate { point [-0.305 0.167 0.008,-0.304 0.167 0.004,-0.305 0.167 0.006,-0.297 0.167 0.000,-0.303 0.167 0.002,-0.301 0.167 0.001,-0.299 0.167 0.000,-0.285 0.167 0.020,-0.156 0.167 0.000,-0.150 0.167 0.002,-0.152 0.167 0.001,-0.153 0.167 0.000,-0.149 0.167 0.004,-0.148 0.167 0.008,-0.148 0.167 0.006,-0.148 0.167 0.020,-0.285 0.167 0.157,-0.305 0.167 0.169,-0.303 0.167 0.175,-0.305 0.167 0.171,-0.304 0.167 0.173,-0.301 0.167 0.176,-0.297 0.167 0.177,-0.299 0.167 0.177,-0.156 0.167 0.177,-0.148 0.167 0.157,-0.148 0.167 0.169,-0.149 0.167 0.173,-0.148 0.167 0.171,-0.153 0.167 0.177,-0.152 0.167 0.176,-0.150 0.167 0.175]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.156 -0.167 0.000,-0.297 -0.167 0.000,-0.297 0.167 0.000,-0.156 0.167 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,0,2,4,-1,5,3,6,-1,3,4,6,-1,5,6,7,-1,8,5,9,-1,10,8,9,-1,5,7,9,-1,11,10,12,-1,10,9,12,-1,11,12,13,-1]
coord Coordinate { point [-0.305 -0.167 0.006,-0.305 -0.167 0.008,-0.305 0.167 0.008,-0.304 -0.167 0.004,-0.305 0.167 0.006,-0.303 -0.167 0.002,-0.304 0.167 0.004,-0.303 0.167 0.002,-0.301 -0.167 0.001,-0.301 0.167 0.001,-0.299 -0.167 0.000,-0.297 -0.167 0.000,-0.299 0.167 0.000,-0.297 0.167 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,2,5,-1,4,5,6,-1,4,3,2,-1,7,6,8,-1,7,4,6,-1,9,7,8,-1,10,8,11,-1,10,9,8,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [-0.148 0.167 0.008,-0.148 -0.167 0.008,-0.148 -0.167 0.006,-0.148 0.167 0.006,-0.149 0.167 0.004,-0.149 -0.167 0.004,-0.150 -0.167 0.002,-0.150 0.167 0.002,-0.152 -0.167 0.001,-0.152 0.167 0.001,-0.153 0.167 0.000,-0.153 -0.167 0.000,-0.156 0.167 0.000,-0.156 -0.167 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.305 0.167 0.008,-0.305 -0.167 0.008,-0.305 -0.167 0.169,-0.305 0.167 0.169]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,0,2,-1,5,4,6,-1,5,3,4,-1,7,6,8,-1,7,5,6,-1,9,8,10,-1,9,7,8,-1,11,10,12,-1,11,9,10,-1,13,11,12,-1]
coord Coordinate { point [-0.305 0.167 0.169,-0.305 -0.167 0.169,-0.305 -0.167 0.171,-0.305 0.167 0.171,-0.304 -0.167 0.173,-0.304 0.167 0.173,-0.303 -0.167 0.175,-0.303 0.167 0.175,-0.301 -0.167 0.176,-0.301 0.167 0.176,-0.299 -0.167 0.177,-0.299 0.167 0.177,-0.297 -0.167 0.177,-0.297 0.167 0.177]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.297 0.167 0.177,-0.297 -0.167 0.177,-0.156 -0.167 0.177,-0.156 0.167 0.177]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,1,4,2,-1,3,2,5,-1,6,3,7,-1,8,6,7,-1,3,5,7,-1,8,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [-0.148 -0.167 0.171,-0.148 -0.167 0.169,-0.148 0.167 0.171,-0.149 -0.167 0.173,-0.148 0.167 0.169,-0.149 0.167 0.173,-0.150 -0.167 0.175,-0.150 0.167 0.175,-0.152 -0.167 0.176,-0.152 0.167 0.176,-0.153 -0.167 0.177,-0.153 0.167 0.177,-0.156 -0.167 0.177,-0.156 0.167 0.177]
}}
appearance Appearance{material USE PIN-01 }
}

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,204 @@
#VRML V2.0 utf8
#kicad StepUp wrl exported
Shape {
appearance Appearance {material DEF PIN-01 Material {
ambientIntensity 0.271
diffuseColor 0.824 0.82 0.781
specularColor 0.328 0.258 0.172
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.7
}
}
}
Shape {
appearance Appearance {material DEF RES-SMD-01 Material {
ambientIntensity 0.638
diffuseColor 0.082 0.086 0.094
specularColor 0.066 0.063 0.063
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.3
}
}
}
Shape {
appearance Appearance {material DEF PLASTIC-WHITE-01 Material {
ambientIntensity 0.494
diffuseColor 0.895 0.891 0.813
specularColor 0.047 0.055 0.109
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.25
}
}
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.394 0.246 0.008,-0.394 -0.246 0.008,-0.394 -0.246 0.189,-0.394 0.246 0.189]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,1,5,6,-1,2,1,6,-1,4,2,6,-1,4,6,7,-1,7,6,8,-1,9,10,11,-1,8,9,12,-1,9,11,12,-1,12,13,14,-1,8,12,14,-1,7,8,15,-1,8,14,15,-1,4,7,16,-1,17,18,19,-1,16,7,20,-1,18,16,21,-1,22,19,21,-1,19,18,21,-1,16,20,23,-1,21,16,23,-1,20,24,25,-1,23,20,25,-1,25,24,26,-1,26,27,28,-1,25,26,28,-1,27,29,30,-1,31,28,30,-1,28,27,30,-1]
coord Coordinate { point [-0.391 -0.246 0.002,-0.390 -0.246 0.001,-0.393 -0.246 0.004,-0.393 -0.246 0.006,-0.394 -0.246 0.008,-0.388 -0.246 0.000,-0.386 -0.246 0.000,-0.374 -0.246 0.020,-0.244 -0.246 0.000,-0.242 -0.246 0.000,-0.240 -0.246 0.001,-0.239 -0.246 0.002,-0.237 -0.246 0.004,-0.236 -0.246 0.006,-0.236 -0.246 0.008,-0.236 -0.246 0.020,-0.394 -0.246 0.189,-0.393 -0.246 0.193,-0.393 -0.246 0.191,-0.391 -0.246 0.195,-0.374 -0.246 0.177,-0.388 -0.246 0.197,-0.390 -0.246 0.196,-0.386 -0.246 0.197,-0.236 -0.246 0.177,-0.244 -0.246 0.197,-0.236 -0.246 0.189,-0.236 -0.246 0.191,-0.242 -0.246 0.197,-0.237 -0.246 0.193,-0.239 -0.246 0.195,-0.240 -0.246 0.196]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,4,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [-0.393 -0.246 0.006,-0.394 -0.246 0.008,-0.393 0.246 0.006,-0.394 0.246 0.008,-0.393 -0.246 0.004,-0.393 0.246 0.004,-0.391 -0.246 0.002,-0.391 0.246 0.002,-0.390 -0.246 0.001,-0.390 0.246 0.001,-0.388 -0.246 0.000,-0.388 0.246 0.000,-0.386 -0.246 0.000,-0.386 0.246 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,7,8,-1,6,4,5,-1,9,6,8,-1,10,8,11,-1,10,9,8,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [-0.393 0.246 0.191,-0.394 -0.246 0.189,-0.393 -0.246 0.191,-0.394 0.246 0.189,-0.393 0.246 0.193,-0.393 -0.246 0.193,-0.391 0.246 0.195,-0.391 -0.246 0.195,-0.390 -0.246 0.196,-0.390 0.246 0.196,-0.388 0.246 0.197,-0.388 -0.246 0.197,-0.386 0.246 0.197,-0.386 -0.246 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,4,-1,5,6,1,-1,5,1,0,-1,5,0,3,-1,7,5,3,-1,8,5,7,-1,9,10,11,-1,12,11,8,-1,12,9,11,-1,13,14,12,-1,13,12,8,-1,15,8,7,-1,15,13,8,-1,16,7,3,-1,17,18,19,-1,20,7,16,-1,21,16,18,-1,21,17,22,-1,21,18,17,-1,23,20,16,-1,23,16,21,-1,24,25,20,-1,24,20,23,-1,26,25,24,-1,27,28,26,-1,27,26,24,-1,29,30,28,-1,29,27,31,-1,29,28,27,-1]
coord Coordinate { point [-0.393 0.246 0.004,-0.390 0.246 0.001,-0.391 0.246 0.002,-0.394 0.246 0.008,-0.393 0.246 0.006,-0.386 0.246 0.000,-0.388 0.246 0.000,-0.374 0.246 0.020,-0.244 0.246 0.000,-0.239 0.246 0.002,-0.240 0.246 0.001,-0.242 0.246 0.000,-0.237 0.246 0.004,-0.236 0.246 0.008,-0.236 0.246 0.006,-0.236 0.246 0.020,-0.394 0.246 0.189,-0.391 0.246 0.195,-0.393 0.246 0.191,-0.393 0.246 0.193,-0.374 0.246 0.177,-0.388 0.246 0.197,-0.390 0.246 0.196,-0.386 0.246 0.197,-0.244 0.246 0.197,-0.236 0.246 0.177,-0.236 0.246 0.189,-0.242 0.246 0.197,-0.236 0.246 0.191,-0.239 0.246 0.195,-0.237 0.246 0.193,-0.240 0.246 0.196]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.244 -0.246 0.000,-0.386 -0.246 0.000,-0.386 0.246 0.000,-0.244 0.246 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,0,2,-1,5,4,6,-1,5,3,4,-1,7,5,6,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [-0.236 0.246 0.008,-0.236 -0.246 0.008,-0.236 -0.246 0.006,-0.236 0.246 0.006,-0.237 -0.246 0.004,-0.237 0.246 0.004,-0.239 -0.246 0.002,-0.239 0.246 0.002,-0.240 0.246 0.001,-0.240 -0.246 0.001,-0.242 0.246 0.000,-0.242 -0.246 0.000,-0.244 0.246 0.000,-0.244 -0.246 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [-0.236 -0.246 0.020,-0.236 -0.246 0.008,-0.236 0.246 0.008,-0.236 0.246 0.020]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,1,4,5,-1,3,1,5,-1,4,6,7,-1,5,4,7,-1]
coord Coordinate { point [-0.374 -0.246 0.020,-0.236 -0.246 0.020,-0.374 -0.246 0.177,-0.236 -0.246 0.177,0.236 -0.246 0.020,0.236 -0.246 0.177,0.374 -0.246 0.020,0.374 -0.246 0.177]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [-0.386 0.246 0.197,-0.386 -0.246 0.197,-0.244 -0.246 0.197,-0.244 0.246 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,8,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [-0.236 -0.246 0.191,-0.236 -0.246 0.189,-0.236 0.246 0.191,-0.236 0.246 0.189,-0.237 -0.246 0.193,-0.237 0.246 0.193,-0.239 -0.246 0.195,-0.239 0.246 0.195,-0.240 -0.246 0.196,-0.240 0.246 0.196,-0.242 -0.246 0.197,-0.242 0.246 0.197,-0.244 -0.246 0.197,-0.244 0.246 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,0,5,-1,0,3,5,-1]
coord Coordinate { point [-0.236 -0.246 0.189,-0.236 -0.246 0.177,0.236 -0.246 0.177,0.236 -0.246 0.189,-0.236 -0.246 0.197,0.236 -0.246 0.197]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,4,5,1,-1,4,1,3,-1,6,7,5,-1,6,5,4,-1]
coord Coordinate { point [-0.374 0.246 0.177,-0.236 0.246 0.020,-0.374 0.246 0.020,-0.236 0.246 0.177,0.236 0.246 0.177,0.236 0.246 0.020,0.374 0.246 0.177,0.374 0.246 0.020]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1,4,2,5,-1,4,3,2,-1]
coord Coordinate { point [0.236 0.246 0.177,-0.236 0.246 0.177,-0.236 0.246 0.189,0.236 0.246 0.189,0.236 0.246 0.197,-0.236 0.246 0.197]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.236 -0.246 0.020,-0.236 -0.246 0.020,-0.236 0.246 0.020,0.236 0.246 0.020]
}}
appearance Appearance{material USE PLASTIC-WHITE-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,4,-1,2,1,4,-1,5,2,6,-1,2,4,6,-1,6,4,7,-1,4,8,9,-1,7,4,9,-1,10,11,12,-1,8,10,13,-1,10,12,13,-1,13,14,15,-1,8,13,15,-1,9,8,15,-1,16,17,18,-1,19,18,20,-1,18,17,20,-1,17,21,22,-1,21,23,22,-1,20,17,22,-1,22,23,24,-1,22,24,25,-1,24,9,26,-1,9,15,26,-1,25,24,26,-1,26,27,28,-1,25,26,28,-1,27,29,30,-1,31,28,30,-1,28,27,30,-1]
coord Coordinate { point [0.239 -0.246 0.002,0.240 -0.246 0.001,0.237 -0.246 0.004,0.242 -0.246 0.000,0.244 -0.246 0.000,0.236 -0.246 0.006,0.236 -0.246 0.008,0.236 -0.246 0.020,0.386 -0.246 0.000,0.374 -0.246 0.020,0.388 -0.246 0.000,0.390 -0.246 0.001,0.391 -0.246 0.002,0.393 -0.246 0.004,0.393 -0.246 0.006,0.394 -0.246 0.008,0.237 -0.246 0.193,0.236 -0.246 0.191,0.239 -0.246 0.195,0.240 -0.246 0.196,0.242 -0.246 0.197,0.236 -0.246 0.189,0.244 -0.246 0.197,0.236 -0.246 0.177,0.374 -0.246 0.177,0.386 -0.246 0.197,0.394 -0.246 0.189,0.393 -0.246 0.191,0.388 -0.246 0.197,0.393 -0.246 0.193,0.391 -0.246 0.195,0.390 -0.246 0.196]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1]
coord Coordinate { point [-0.236 0.246 0.197,-0.236 0.246 0.189,-0.236 -0.246 0.197,-0.236 -0.246 0.189]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1]
coord Coordinate { point [0.236 -0.246 0.197,0.236 0.246 0.189,0.236 0.246 0.197,0.236 -0.246 0.189]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.236 0.246 0.197,-0.236 0.246 0.197,-0.236 -0.246 0.197,0.236 -0.246 0.197]
}}
appearance Appearance{material USE RES-SMD-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,1,-1,3,1,0,-1,5,0,6,-1,5,3,0,-1,7,3,5,-1,8,9,3,-1,8,3,7,-1,10,11,12,-1,13,12,9,-1,13,10,12,-1,14,15,13,-1,14,13,9,-1,14,9,8,-1,16,17,18,-1,19,16,20,-1,19,17,16,-1,21,22,17,-1,21,23,22,-1,21,17,19,-1,24,23,21,-1,25,24,21,-1,26,8,24,-1,26,14,8,-1,26,24,25,-1,27,28,26,-1,27,26,25,-1,29,30,28,-1,29,27,31,-1,29,28,27,-1]
coord Coordinate { point [0.237 0.246 0.004,0.240 0.246 0.001,0.239 0.246 0.002,0.244 0.246 0.000,0.242 0.246 0.000,0.236 0.246 0.008,0.236 0.246 0.006,0.236 0.246 0.020,0.374 0.246 0.020,0.386 0.246 0.000,0.391 0.246 0.002,0.390 0.246 0.001,0.388 0.246 0.000,0.393 0.246 0.004,0.394 0.246 0.008,0.393 0.246 0.006,0.239 0.246 0.195,0.236 0.246 0.191,0.237 0.246 0.193,0.242 0.246 0.197,0.240 0.246 0.196,0.244 0.246 0.197,0.236 0.246 0.189,0.236 0.246 0.177,0.374 0.246 0.177,0.386 0.246 0.197,0.394 0.246 0.189,0.388 0.246 0.197,0.393 0.246 0.191,0.391 0.246 0.195,0.393 0.246 0.193,0.390 0.246 0.196]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.236 0.246 0.008,0.236 -0.246 0.008,0.236 -0.246 0.020,0.236 0.246 0.020]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.386 -0.246 0.000,0.244 -0.246 0.000,0.244 0.246 0.000,0.386 0.246 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,4,5,7,-1,8,6,9,-1,6,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [0.236 -0.246 0.006,0.236 -0.246 0.008,0.236 0.246 0.006,0.236 0.246 0.008,0.237 -0.246 0.004,0.237 0.246 0.004,0.239 -0.246 0.002,0.239 0.246 0.002,0.240 -0.246 0.001,0.240 0.246 0.001,0.242 -0.246 0.000,0.242 0.246 0.000,0.244 -0.246 0.000,0.244 0.246 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,2,4,-1,3,0,2,-1,5,4,6,-1,5,3,4,-1,7,5,6,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [0.394 0.246 0.008,0.394 -0.246 0.008,0.393 -0.246 0.006,0.393 0.246 0.006,0.393 -0.246 0.004,0.393 0.246 0.004,0.391 -0.246 0.002,0.391 0.246 0.002,0.390 0.246 0.001,0.390 -0.246 0.001,0.388 0.246 0.000,0.388 -0.246 0.000,0.386 0.246 0.000,0.386 -0.246 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1]
coord Coordinate { point [0.394 -0.246 0.189,0.394 -0.246 0.008,0.394 0.246 0.008,0.394 0.246 0.189]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,4,0,5,-1,0,2,5,-1,6,4,7,-1,8,6,7,-1,4,5,7,-1,8,7,9,-1,10,8,11,-1,8,9,11,-1,12,10,13,-1,10,11,13,-1]
coord Coordinate { point [0.393 -0.246 0.191,0.394 -0.246 0.189,0.393 0.246 0.191,0.394 0.246 0.189,0.393 -0.246 0.193,0.393 0.246 0.193,0.391 -0.246 0.195,0.391 0.246 0.195,0.390 -0.246 0.196,0.390 0.246 0.196,0.388 -0.246 0.197,0.388 0.246 0.197,0.386 -0.246 0.197,0.386 0.246 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,0,2,-1]
coord Coordinate { point [0.244 0.246 0.197,0.244 -0.246 0.197,0.386 -0.246 0.197,0.386 0.246 0.197]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,2,5,-1,4,0,2,-1,6,5,7,-1,6,7,8,-1,6,4,5,-1,9,6,8,-1,10,8,11,-1,10,9,8,-1,12,11,13,-1,12,10,11,-1]
coord Coordinate { point [0.236 0.246 0.191,0.236 -0.246 0.189,0.236 -0.246 0.191,0.236 0.246 0.189,0.237 0.246 0.193,0.237 -0.246 0.193,0.239 0.246 0.195,0.239 -0.246 0.195,0.240 -0.246 0.196,0.240 0.246 0.196,0.242 0.246 0.197,0.242 -0.246 0.197,0.244 0.246 0.197,0.244 -0.246 0.197]
}}
appearance Appearance{material USE PIN-01 }
}

View File

@ -0,0 +1,31 @@
(module R_0805_2012Metric (layer F.Cu) (tedit 5B36C52B)
(descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
(tags resistor)
(attr smd)
(fp_text reference REF** (at 0 -1.65) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value R_0805_2012Metric (at 0 1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05))
(pad 1 smd roundrect (at -0.9375 0) (size 0.975 1.4) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at 0.9375 0) (size 0.975 1.4) (layers F.Cu F.Mask F.Paste) (roundrect_rratio 0.25))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.08)))
)
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,31 @@
(module R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5BD951E7)
(descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
(tags "resistor handsolder")
(attr smd)
(fp_text reference REF** (at 0 -1.65) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (at 0 1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.08)))
)
(pad 1 smd roundrect (at -1.025 0) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391))
(pad 2 smd roundrect (at 1.025 0) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391))
(model ${KIPRJMOD}/tomu-fpga.pretty/R_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

View File

@ -0,0 +1,32 @@
(module SOIC-8 (layer F.Cu) (tedit 5BD95110)
(attr smd)
(fp_text reference REF** (at -3.705 0 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SOIC-8 (at 0 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.905 3.9) (end -2.705 3.1) (layer F.SilkS) (width 0.15))
(fp_line (start -2.705 3.1) (end -2.705 -3.9) (layer F.SilkS) (width 0.15))
(fp_line (start -2.705 -3.9) (end 2.705 -3.9) (layer F.SilkS) (width 0.15))
(fp_line (start 2.705 -3.9) (end 2.705 3.9) (layer F.SilkS) (width 0.15))
(fp_line (start 2.705 3.9) (end -1.905 3.9) (layer F.SilkS) (width 0.15))
(fp_line (start -2.85 -4.05) (end 2.85 -4.05) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 -4.05) (end 2.85 4.05) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 4.05) (end -2.85 4.05) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.85 4.05) (end -2.85 -4.05) (layer F.CrtYd) (width 0.05))
(pad 8 smd rect (at -1.905 -2.65) (size 0.6 1.5) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at -1.905 2.65) (size 0.6 1.5) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at -0.635 -2.65) (size 0.6 1.5) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -0.635 2.65) (size 0.6 1.5) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at 0.635 -2.65) (size 0.6 1.5) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 0.635 2.65) (size 0.6 1.5) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1.905 -2.65) (size 0.6 1.5) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 1.905 2.65) (size 0.6 1.5) (layers F.Cu F.Paste F.Mask))
(pad ~ smd circle (at -2.1 4.5 180) (size 0.6 0.6) (layers F.SilkS))
(model ${KIPRJMOD}/tomu-fpga.pretty/SOIC-8-1EP_3.9x4.9mm_Pitch1.27mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 -90))
)
)

View File

@ -0,0 +1,36 @@
(module SOT-23-5 (layer F.Cu) (tedit 5BD93DDB)
(descr "5-pin SOT23 package")
(tags SOT-23-5)
(attr smd)
(fp_text reference REF** (at 0 -2.9) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SOT-23-5 (at 0 2.9) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12))
(fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12))
(fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
(fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1))
(pad 1 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask))
(pad "" smd circle (at -2.3 -0.9 180) (size 0.6 0.6) (layers F.SilkS))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,705 @@
#VRML V2.0 utf8
#kicad StepUp wrl exported
# Copyright (C) 2017, kicad StepUp
#
# This work is licensed under the [Creative Commons CC-BY-SA 4.0 License](https://creativecommons.org/licenses/by-sa/4.0/legalcode),
# with the following exception:
# To the extent that the creation of electronic designs that use 'Licensed Material' can be considered to be 'Adapted Material',
# then the copyright holder waives article 3 of the license with respect to these designs and any generated files which use data provided
# as part of the 'Licensed Material'.
# You are free to use the library data in your own projects without the obligation to share your project files under this or any other license agreement.
# However, if you wish to redistribute these libraries, or parts thereof (including in modified form) as a collection then the exception above does not apply.
# Please refer to https://github.com/KiCad/kicad-packages3D/blob/master/LICENSE.md for further clarification of the exception.
# Disclaimer of Warranties and Limitation of Liability.
# These libraries are provided in the hope that they will be useful, but are provided without warranty of any kind, express or implied.
# *USE 3D CAD DATA AT YOUR OWN RISK*
# *DO NOT RELY UPON ANY INFORMATION FOUND HERE WITHOUT INDEPENDENT VERIFICATION.*
#
Shape {
appearance Appearance {material DEF PIN-01 Material {
ambientIntensity 0.271
diffuseColor 0.824 0.82 0.781
specularColor 0.328 0.258 0.172
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.7
}
}
}
Shape {
appearance Appearance {material DEF IC-LABEL-01 Material {
ambientIntensity 0.082
diffuseColor 0.691 0.664 0.598
specularColor 0.0 0.0 0.0
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.01
}
}
}
Shape {
appearance Appearance {material DEF IC-BODY-EPOXY-04 Material {
ambientIntensity 0.293
diffuseColor 0.148 0.145 0.145
specularColor 0.18 0.168 0.16
emissiveColor 0.0 0.0 0.0
transparency 0.0
shininess 0.35
}
}
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.260 0.535 0.039,0.278 0.571 0.295,0.260 0.535 0.039,-0.278 0.571 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,4,5,6,-1,7,5,4,-1,3,5,7,-1,1,5,3,-1]
coord Coordinate { point [0.260 0.535 0.039,0.279 0.515 0.039,-0.260 0.535 0.039,-0.279 0.515 0.039,-0.260 -0.535 0.039,0.279 -0.515 0.039,0.260 -0.535 0.039,-0.279 -0.515 0.039]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,3,4,-1,1,3,2,-1,3,5,4,-1,2,6,0,-1,6,7,0,-1,0,7,1,-1,1,8,3,-1,7,8,1,-1,5,9,4,-1,3,9,5,-1,8,9,3,-1,10,11,2,-1,2,11,6,-1,11,12,6,-1,6,12,7,-1,7,13,8,-1,12,13,7,-1,4,14,15,-1,9,14,4,-1,8,14,9,-1,13,14,8,-1,10,16,11,-1,11,17,12,-1,10,17,16,-1,16,17,11,-1,15,18,10,-1,12,18,13,-1,10,18,17,-1,17,18,12,-1,14,19,15,-1,13,19,14,-1,15,19,18,-1,18,19,13,-1]
coord Coordinate { point [0.268 0.537 0.091,0.272 0.533 0.091,0.260 0.535 0.039,0.277 0.528 0.091,0.279 0.515 0.039,0.282 0.524 0.091,0.272 0.544 0.142,0.277 0.539 0.142,0.283 0.533 0.142,0.288 0.528 0.142,0.278 0.571 0.295,0.276 0.550 0.193,0.282 0.544 0.193,0.288 0.538 0.193,0.295 0.532 0.193,0.315 0.533 0.295,0.281 0.557 0.244,0.287 0.550 0.244,0.294 0.543 0.244,0.301 0.537 0.244]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.278 0.571 0.295,0.278 0.571 0.354,0.278 0.571 0.295,-0.278 0.571 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,3,4,-1,1,3,2,-1,3,5,4,-1,2,6,0,-1,6,7,0,-1,0,7,1,-1,1,8,3,-1,7,8,1,-1,5,9,4,-1,3,9,5,-1,8,9,3,-1,10,11,2,-1,2,11,6,-1,11,12,6,-1,6,12,7,-1,7,13,8,-1,12,13,7,-1,4,14,15,-1,9,14,4,-1,8,14,9,-1,13,14,8,-1,10,16,11,-1,11,17,12,-1,10,17,16,-1,16,17,11,-1,15,18,10,-1,12,18,13,-1,10,18,17,-1,17,18,12,-1,14,19,15,-1,13,19,14,-1,15,19,18,-1,18,19,13,-1]
coord Coordinate { point [-0.282 0.524 0.091,-0.277 0.528 0.091,-0.279 0.515 0.039,-0.272 0.533 0.091,-0.260 0.535 0.039,-0.268 0.537 0.091,-0.288 0.528 0.142,-0.283 0.533 0.142,-0.277 0.539 0.142,-0.272 0.544 0.142,-0.315 0.533 0.295,-0.295 0.532 0.193,-0.288 0.538 0.193,-0.282 0.544 0.193,-0.276 0.550 0.193,-0.278 0.571 0.295,-0.301 0.537 0.244,-0.294 0.543 0.244,-0.287 0.550 0.244,-0.281 0.557 0.244]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,2,4,5,-1,3,4,2,-1,4,6,5,-1,6,7,5,-1]
coord Coordinate { point [0.315 0.533 0.295,0.315 0.472 0.295,0.279 0.515 0.039,0.315 0.276 0.295,0.315 -0.276 0.295,0.279 -0.515 0.039,0.315 -0.472 0.295,0.315 -0.533 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,3,4,-1,1,3,2,-1,3,5,4,-1,2,6,0,-1,6,7,0,-1,0,7,1,-1,1,8,3,-1,7,8,1,-1,5,9,4,-1,3,9,5,-1,8,9,3,-1,10,11,2,-1,2,11,6,-1,11,12,6,-1,6,12,7,-1,7,13,8,-1,12,13,7,-1,4,14,15,-1,9,14,4,-1,8,14,9,-1,13,14,8,-1,10,16,11,-1,11,17,12,-1,10,17,16,-1,16,17,11,-1,15,18,10,-1,12,18,13,-1,10,18,17,-1,17,18,12,-1,14,19,15,-1,13,19,14,-1,15,19,18,-1,18,19,13,-1]
coord Coordinate { point [0.282 -0.524 0.091,0.277 -0.528 0.091,0.279 -0.515 0.039,0.272 -0.533 0.091,0.260 -0.535 0.039,0.268 -0.537 0.091,0.288 -0.528 0.142,0.283 -0.533 0.142,0.277 -0.539 0.142,0.272 -0.544 0.142,0.315 -0.533 0.295,0.295 -0.532 0.193,0.288 -0.538 0.193,0.282 -0.544 0.193,0.276 -0.550 0.193,0.278 -0.571 0.295,0.301 -0.537 0.244,0.294 -0.543 0.244,0.287 -0.550 0.244,0.281 -0.557 0.244]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.260 -0.535 0.039,-0.278 -0.571 0.295,-0.260 -0.535 0.039,0.278 -0.571 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,3,4,-1,1,3,2,-1,3,5,4,-1,2,6,0,-1,6,7,0,-1,0,7,1,-1,1,8,3,-1,7,8,1,-1,5,9,4,-1,3,9,5,-1,8,9,3,-1,10,11,2,-1,2,11,6,-1,11,12,6,-1,6,12,7,-1,7,13,8,-1,12,13,7,-1,4,14,15,-1,9,14,4,-1,8,14,9,-1,13,14,8,-1,10,16,11,-1,11,17,12,-1,10,17,16,-1,16,17,11,-1,15,18,10,-1,12,18,13,-1,10,18,17,-1,17,18,12,-1,14,19,15,-1,13,19,14,-1,15,19,18,-1,18,19,13,-1]
coord Coordinate { point [-0.268 -0.537 0.091,-0.272 -0.533 0.091,-0.260 -0.535 0.039,-0.277 -0.528 0.091,-0.279 -0.515 0.039,-0.282 -0.524 0.091,-0.272 -0.544 0.142,-0.277 -0.539 0.142,-0.283 -0.533 0.142,-0.288 -0.528 0.142,-0.278 -0.571 0.295,-0.276 -0.550 0.193,-0.282 -0.544 0.193,-0.288 -0.538 0.193,-0.295 -0.532 0.193,-0.315 -0.533 0.295,-0.281 -0.557 0.244,-0.287 -0.550 0.244,-0.294 -0.543 0.244,-0.301 -0.537 0.244]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,1,3,2,-1,3,4,2,-1,5,6,4,-1,4,6,2,-1,5,7,6,-1,7,8,6,-1,8,9,6,-1]
coord Coordinate { point [-0.315 -0.533 0.295,-0.315 -0.472 0.295,-0.279 -0.515 0.039,-0.315 -0.276 0.295,-0.315 -0.098 0.295,-0.315 0.098 0.295,-0.279 0.515 0.039,-0.315 0.276 0.295,-0.315 0.472 0.295,-0.315 0.533 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.278 0.571 0.295,0.315 0.533 0.354,0.315 0.533 0.295,0.278 0.571 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.278 0.571 0.354,0.273 0.561 0.354,0.278 0.571 0.354,-0.273 0.561 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.315 0.533 0.295,-0.278 0.571 0.354,-0.278 0.571 0.295,-0.315 0.533 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.315 0.533 0.354,0.315 0.472 0.354,0.315 0.533 0.295,0.315 0.472 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.315 -0.472 0.354,0.315 -0.533 0.354,0.315 -0.472 0.295,0.315 -0.533 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.315 0.276 0.295,0.335 0.472 0.295,0.335 0.276 0.295,0.315 0.472 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.315 -0.472 0.295,0.335 -0.276 0.295,0.335 -0.472 0.295,0.315 -0.276 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.315 0.276 0.354,0.315 -0.276 0.354,0.315 0.276 0.295,0.315 -0.276 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.315 -0.533 0.295,0.278 -0.571 0.354,0.278 -0.571 0.295,0.315 -0.533 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.278 -0.571 0.295,-0.278 -0.571 0.354,-0.278 -0.571 0.295,0.278 -0.571 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.278 -0.571 0.295,-0.315 -0.533 0.354,-0.315 -0.533 0.295,-0.278 -0.571 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.315 -0.533 0.354,-0.315 -0.472 0.354,-0.315 -0.533 0.295,-0.315 -0.472 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.315 0.472 0.354,-0.315 0.533 0.354,-0.315 0.472 0.295,-0.315 0.533 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.315 -0.276 0.295,-0.335 -0.472 0.295,-0.335 -0.276 0.295,-0.315 -0.472 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.315 0.472 0.295,-0.335 0.276 0.295,-0.335 0.472 0.295,-0.315 0.276 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.315 -0.276 0.354,-0.315 -0.098 0.354,-0.315 -0.276 0.295,-0.315 -0.098 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.315 0.098 0.354,-0.315 0.276 0.354,-0.315 0.098 0.295,-0.315 0.276 0.295]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.315 0.098 0.295,-0.335 -0.098 0.295,-0.335 0.098 0.295,-0.315 -0.098 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.278 0.571 0.354,0.305 0.529 0.354,0.315 0.533 0.354,0.273 0.561 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,0,-1,0,4,1,-1,2,5,0,-1,3,6,4,-1,2,7,5,-1,1,7,2,-1,4,8,1,-1,1,8,7,-1,0,9,10,-1,5,9,0,-1,4,11,8,-1,3,11,6,-1,6,11,4,-1,7,12,5,-1,5,12,9,-1,8,13,7,-1,7,13,12,-1,9,14,10,-1,15,16,3,-1,3,16,11,-1,11,16,8,-1,8,16,13,-1,14,17,10,-1,12,17,9,-1,9,17,14,-1,10,18,15,-1,13,18,12,-1,17,18,10,-1,12,18,17,-1,18,19,15,-1,15,19,16,-1,13,19,18,-1,16,19,13,-1]
coord Coordinate { point [-0.273 0.561 0.354,-0.159 0.547 0.457,-0.161 0.554 0.406,-0.255 0.525 0.610,-0.157 0.539 0.508,-0.054 0.554 0.406,-0.155 0.532 0.559,-0.053 0.547 0.457,-0.052 0.539 0.508,0.054 0.554 0.406,0.273 0.561 0.354,-0.052 0.532 0.559,0.053 0.547 0.457,0.052 0.539 0.508,0.161 0.554 0.406,0.255 0.525 0.610,0.052 0.532 0.559,0.159 0.547 0.457,0.157 0.539 0.508,0.155 0.532 0.559]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.315 0.533 0.354,-0.273 0.561 0.354,-0.278 0.571 0.354,-0.305 0.529 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.305 0.529 0.354,0.305 0.472 0.354,0.315 0.533 0.354,0.315 0.472 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,4,5,3,-1,3,5,1,-1,6,7,4,-1,4,7,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1,13,14,15,-1,12,14,13,-1,15,16,17,-1,14,16,15,-1,17,18,19,-1,16,18,17,-1,19,20,21,-1,18,20,19,-1,21,22,23,-1,20,22,21,-1,24,25,22,-1,22,25,23,-1,26,27,24,-1,24,27,25,-1,28,29,26,-1,26,29,27,-1,30,31,28,-1,28,31,29,-1]
coord Coordinate { point [0.551 0.472 0.000,0.472 0.472 0.059,0.551 0.472 0.059,0.472 0.472 0.000,0.447 0.472 0.003,0.462 0.472 0.060,0.423 0.472 0.013,0.453 0.472 0.064,0.403 0.472 0.029,0.445 0.472 0.071,0.387 0.472 0.049,0.438 0.472 0.079,0.377 0.472 0.073,0.434 0.472 0.088,0.374 0.472 0.098,0.433 0.472 0.098,0.374 0.472 0.256,0.433 0.472 0.256,0.373 0.472 0.266,0.430 0.472 0.281,0.369 0.472 0.276,0.420 0.472 0.305,0.362 0.472 0.284,0.404 0.472 0.326,0.354 0.472 0.290,0.384 0.472 0.341,0.345 0.472 0.294,0.360 0.472 0.351,0.335 0.472 0.295,0.335 0.472 0.354,0.315 0.472 0.295,0.315 0.472 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,5,3,-1,4,3,0,-1,6,7,5,-1,6,5,4,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,10,11,-1,14,13,15,-1,14,12,13,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,20,21,-1,24,25,22,-1,24,22,23,-1,26,27,25,-1,26,25,24,-1,28,29,27,-1,28,27,26,-1,30,31,29,-1,30,29,28,-1]
coord Coordinate { point [0.472 -0.472 0.059,0.551 -0.472 0.000,0.551 -0.472 0.059,0.472 -0.472 0.000,0.462 -0.472 0.060,0.447 -0.472 0.003,0.453 -0.472 0.064,0.423 -0.472 0.013,0.403 -0.472 0.029,0.445 -0.472 0.071,0.387 -0.472 0.049,0.438 -0.472 0.079,0.377 -0.472 0.073,0.434 -0.472 0.088,0.374 -0.472 0.098,0.433 -0.472 0.098,0.374 -0.472 0.256,0.433 -0.472 0.256,0.373 -0.472 0.266,0.430 -0.472 0.281,0.369 -0.472 0.276,0.420 -0.472 0.305,0.362 -0.472 0.284,0.404 -0.472 0.326,0.384 -0.472 0.341,0.354 -0.472 0.290,0.360 -0.472 0.351,0.345 -0.472 0.294,0.335 -0.472 0.354,0.335 -0.472 0.295,0.315 -0.472 0.354,0.315 -0.472 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1]
coord Coordinate { point [0.305 -0.472 0.354,0.315 -0.533 0.354,0.315 -0.472 0.354,0.305 -0.529 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [0.335 0.472 0.295,0.345 0.472 0.294,0.335 0.276 0.295,0.345 0.276 0.294,0.354 0.472 0.290,0.354 0.276 0.290,0.362 0.472 0.284,0.362 0.276 0.284,0.369 0.472 0.276,0.369 0.276 0.276,0.373 0.472 0.266,0.373 0.276 0.266,0.374 0.472 0.256,0.374 0.276 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,5,3,-1,4,3,0,-1,6,7,5,-1,6,5,4,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,10,11,-1,14,13,15,-1,14,12,13,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,20,21,-1,24,25,22,-1,24,22,23,-1,26,27,25,-1,26,25,24,-1,28,29,27,-1,28,27,26,-1,30,31,29,-1,30,29,28,-1]
coord Coordinate { point [0.472 0.276 0.059,0.551 0.276 0.000,0.551 0.276 0.059,0.472 0.276 0.000,0.462 0.276 0.060,0.447 0.276 0.003,0.453 0.276 0.064,0.423 0.276 0.013,0.403 0.276 0.029,0.445 0.276 0.071,0.387 0.276 0.049,0.438 0.276 0.079,0.377 0.276 0.073,0.434 0.276 0.088,0.374 0.276 0.098,0.433 0.276 0.098,0.374 0.276 0.256,0.433 0.276 0.256,0.373 0.276 0.266,0.430 0.276 0.281,0.369 0.276 0.276,0.420 0.276 0.305,0.362 0.276 0.284,0.404 0.276 0.326,0.384 0.276 0.341,0.354 0.276 0.290,0.360 0.276 0.351,0.345 0.276 0.294,0.335 0.276 0.354,0.335 0.276 0.295,0.315 0.276 0.354,0.315 0.276 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,4,5,3,-1,3,5,1,-1,6,7,4,-1,4,7,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1,13,14,15,-1,12,14,13,-1,15,16,17,-1,14,16,15,-1,17,18,19,-1,16,18,17,-1,19,20,21,-1,18,20,19,-1,21,22,23,-1,20,22,21,-1,24,25,22,-1,22,25,23,-1,26,27,24,-1,24,27,25,-1,28,29,26,-1,26,29,27,-1,30,31,28,-1,28,31,29,-1]
coord Coordinate { point [0.551 -0.276 0.000,0.472 -0.276 0.059,0.551 -0.276 0.059,0.472 -0.276 0.000,0.447 -0.276 0.003,0.462 -0.276 0.060,0.423 -0.276 0.013,0.453 -0.276 0.064,0.403 -0.276 0.029,0.445 -0.276 0.071,0.387 -0.276 0.049,0.438 -0.276 0.079,0.377 -0.276 0.073,0.434 -0.276 0.088,0.374 -0.276 0.098,0.433 -0.276 0.098,0.374 -0.276 0.256,0.433 -0.276 0.256,0.373 -0.276 0.266,0.430 -0.276 0.281,0.369 -0.276 0.276,0.420 -0.276 0.305,0.362 -0.276 0.284,0.404 -0.276 0.326,0.354 -0.276 0.290,0.384 -0.276 0.341,0.345 -0.276 0.294,0.360 -0.276 0.351,0.335 -0.276 0.295,0.335 -0.276 0.354,0.315 -0.276 0.295,0.315 -0.276 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [0.335 -0.276 0.295,0.345 -0.276 0.294,0.335 -0.472 0.295,0.345 -0.472 0.294,0.354 -0.276 0.290,0.354 -0.472 0.290,0.362 -0.276 0.284,0.362 -0.472 0.284,0.369 -0.276 0.276,0.369 -0.472 0.276,0.373 -0.276 0.266,0.373 -0.472 0.266,0.374 -0.276 0.256,0.374 -0.472 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.305 0.276 0.354,0.305 -0.276 0.354,0.315 0.276 0.354,0.315 -0.276 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.315 -0.533 0.354,0.273 -0.561 0.354,0.278 -0.571 0.354,0.305 -0.529 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [0.278 -0.571 0.354,-0.273 -0.561 0.354,-0.278 -0.571 0.354,0.273 -0.561 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1]
coord Coordinate { point [-0.278 -0.571 0.354,-0.305 -0.529 0.354,-0.315 -0.533 0.354,-0.273 -0.561 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.305 -0.529 0.354,-0.305 -0.472 0.354,-0.315 -0.533 0.354,-0.315 -0.472 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,4,5,3,-1,3,5,1,-1,6,7,4,-1,4,7,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1,13,14,15,-1,12,14,13,-1,15,16,17,-1,14,16,15,-1,17,18,19,-1,16,18,17,-1,19,20,21,-1,18,20,19,-1,21,22,23,-1,20,22,21,-1,24,25,22,-1,22,25,23,-1,26,27,24,-1,24,27,25,-1,28,29,26,-1,26,29,27,-1,30,31,28,-1,28,31,29,-1]
coord Coordinate { point [-0.551 -0.472 0.000,-0.472 -0.472 0.059,-0.551 -0.472 0.059,-0.472 -0.472 0.000,-0.447 -0.472 0.003,-0.462 -0.472 0.060,-0.423 -0.472 0.013,-0.453 -0.472 0.064,-0.403 -0.472 0.029,-0.445 -0.472 0.071,-0.387 -0.472 0.049,-0.438 -0.472 0.079,-0.377 -0.472 0.073,-0.434 -0.472 0.088,-0.374 -0.472 0.098,-0.433 -0.472 0.098,-0.374 -0.472 0.256,-0.433 -0.472 0.256,-0.373 -0.472 0.266,-0.430 -0.472 0.281,-0.369 -0.472 0.276,-0.420 -0.472 0.305,-0.362 -0.472 0.284,-0.404 -0.472 0.326,-0.354 -0.472 0.290,-0.384 -0.472 0.341,-0.345 -0.472 0.294,-0.360 -0.472 0.351,-0.335 -0.472 0.295,-0.335 -0.472 0.354,-0.315 -0.472 0.295,-0.315 -0.472 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,5,3,-1,4,3,0,-1,6,7,5,-1,6,5,4,-1,8,9,7,-1,8,7,6,-1,10,8,11,-1,10,9,8,-1,12,11,13,-1,12,10,11,-1,14,13,15,-1,14,12,13,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,20,21,-1,24,25,22,-1,24,22,23,-1,26,27,25,-1,26,25,24,-1,28,29,27,-1,28,27,26,-1,30,31,29,-1,30,29,28,-1]
coord Coordinate { point [-0.472 0.472 0.059,-0.551 0.472 0.000,-0.551 0.472 0.059,-0.472 0.472 0.000,-0.462 0.472 0.060,-0.447 0.472 0.003,-0.453 0.472 0.064,-0.423 0.472 0.013,-0.445 0.472 0.071,-0.403 0.472 0.029,-0.387 0.472 0.049,-0.438 0.472 0.079,-0.377 0.472 0.073,-0.434 0.472 0.088,-0.374 0.472 0.098,-0.433 0.472 0.098,-0.374 0.472 0.256,-0.433 0.472 0.256,-0.373 0.472 0.266,-0.430 0.472 0.281,-0.369 0.472 0.276,-0.420 0.472 0.305,-0.362 0.472 0.284,-0.404 0.472 0.326,-0.384 0.472 0.341,-0.354 0.472 0.290,-0.360 0.472 0.351,-0.345 0.472 0.294,-0.335 0.472 0.354,-0.335 0.472 0.295,-0.315 0.472 0.354,-0.315 0.472 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1]
coord Coordinate { point [-0.305 0.472 0.354,-0.315 0.533 0.354,-0.315 0.472 0.354,-0.305 0.529 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.335 -0.472 0.295,-0.345 -0.472 0.294,-0.335 -0.276 0.295,-0.345 -0.276 0.294,-0.354 -0.472 0.290,-0.354 -0.276 0.290,-0.362 -0.472 0.284,-0.362 -0.276 0.284,-0.369 -0.472 0.276,-0.369 -0.276 0.276,-0.373 -0.472 0.266,-0.373 -0.276 0.266,-0.374 -0.472 0.256,-0.374 -0.276 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,5,3,-1,4,3,0,-1,6,7,5,-1,6,5,4,-1,8,9,7,-1,8,7,6,-1,10,8,11,-1,10,9,8,-1,12,11,13,-1,12,10,11,-1,14,13,15,-1,14,12,13,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,20,21,-1,24,25,22,-1,24,22,23,-1,26,27,25,-1,26,25,24,-1,28,29,27,-1,28,27,26,-1,30,31,29,-1,30,29,28,-1]
coord Coordinate { point [-0.472 -0.276 0.059,-0.551 -0.276 0.000,-0.551 -0.276 0.059,-0.472 -0.276 0.000,-0.462 -0.276 0.060,-0.447 -0.276 0.003,-0.453 -0.276 0.064,-0.423 -0.276 0.013,-0.445 -0.276 0.071,-0.403 -0.276 0.029,-0.387 -0.276 0.049,-0.438 -0.276 0.079,-0.377 -0.276 0.073,-0.434 -0.276 0.088,-0.374 -0.276 0.098,-0.433 -0.276 0.098,-0.374 -0.276 0.256,-0.433 -0.276 0.256,-0.373 -0.276 0.266,-0.430 -0.276 0.281,-0.369 -0.276 0.276,-0.420 -0.276 0.305,-0.362 -0.276 0.284,-0.404 -0.276 0.326,-0.384 -0.276 0.341,-0.354 -0.276 0.290,-0.360 -0.276 0.351,-0.345 -0.276 0.294,-0.335 -0.276 0.354,-0.335 -0.276 0.295,-0.315 -0.276 0.354,-0.315 -0.276 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,4,5,3,-1,3,5,1,-1,6,7,4,-1,4,7,5,-1,8,9,6,-1,6,9,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1,13,14,15,-1,12,14,13,-1,15,16,17,-1,14,16,15,-1,17,18,19,-1,16,18,17,-1,19,20,21,-1,18,20,19,-1,21,22,23,-1,20,22,21,-1,24,25,22,-1,22,25,23,-1,26,27,24,-1,24,27,25,-1,28,29,26,-1,26,29,27,-1,30,31,28,-1,28,31,29,-1]
coord Coordinate { point [-0.551 0.276 0.000,-0.472 0.276 0.059,-0.551 0.276 0.059,-0.472 0.276 0.000,-0.447 0.276 0.003,-0.462 0.276 0.060,-0.423 0.276 0.013,-0.453 0.276 0.064,-0.403 0.276 0.029,-0.445 0.276 0.071,-0.387 0.276 0.049,-0.438 0.276 0.079,-0.377 0.276 0.073,-0.434 0.276 0.088,-0.374 0.276 0.098,-0.433 0.276 0.098,-0.374 0.276 0.256,-0.433 0.276 0.256,-0.373 0.276 0.266,-0.430 0.276 0.281,-0.369 0.276 0.276,-0.420 0.276 0.305,-0.362 0.276 0.284,-0.404 0.276 0.326,-0.354 0.276 0.290,-0.384 0.276 0.341,-0.345 0.276 0.294,-0.360 0.276 0.351,-0.335 0.276 0.295,-0.335 0.276 0.354,-0.315 0.276 0.295,-0.315 0.276 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.335 0.276 0.295,-0.345 0.276 0.294,-0.335 0.472 0.295,-0.345 0.472 0.294,-0.354 0.276 0.290,-0.354 0.472 0.290,-0.362 0.276 0.284,-0.362 0.472 0.284,-0.369 0.276 0.276,-0.369 0.472 0.276,-0.373 0.276 0.266,-0.373 0.472 0.266,-0.374 0.276 0.256,-0.374 0.472 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.305 -0.276 0.354,-0.305 -0.098 0.354,-0.315 -0.276 0.354,-0.315 -0.098 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,4,5,3,-1,3,5,1,-1,6,7,4,-1,4,7,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1,13,14,15,-1,12,14,13,-1,15,16,17,-1,14,16,15,-1,17,18,19,-1,16,18,17,-1,19,20,21,-1,18,20,19,-1,21,22,23,-1,20,22,21,-1,24,25,22,-1,22,25,23,-1,26,27,24,-1,24,27,25,-1,28,29,26,-1,26,29,27,-1,30,31,28,-1,28,31,29,-1]
coord Coordinate { point [-0.551 -0.098 0.000,-0.472 -0.098 0.059,-0.551 -0.098 0.059,-0.472 -0.098 0.000,-0.447 -0.098 0.003,-0.462 -0.098 0.060,-0.423 -0.098 0.013,-0.453 -0.098 0.064,-0.403 -0.098 0.029,-0.445 -0.098 0.071,-0.387 -0.098 0.049,-0.438 -0.098 0.079,-0.377 -0.098 0.073,-0.434 -0.098 0.088,-0.374 -0.098 0.098,-0.433 -0.098 0.098,-0.374 -0.098 0.256,-0.433 -0.098 0.256,-0.373 -0.098 0.266,-0.430 -0.098 0.281,-0.369 -0.098 0.276,-0.420 -0.098 0.305,-0.362 -0.098 0.284,-0.404 -0.098 0.326,-0.354 -0.098 0.290,-0.384 -0.098 0.341,-0.345 -0.098 0.294,-0.360 -0.098 0.351,-0.335 -0.098 0.295,-0.335 -0.098 0.354,-0.315 -0.098 0.295,-0.315 -0.098 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1,4,5,3,-1,4,3,0,-1,6,7,5,-1,6,5,4,-1,8,6,9,-1,8,7,6,-1,10,9,11,-1,10,8,9,-1,12,11,13,-1,12,10,11,-1,14,13,15,-1,14,12,13,-1,16,15,17,-1,16,14,15,-1,18,17,19,-1,18,16,17,-1,20,19,21,-1,20,18,19,-1,22,21,23,-1,22,20,21,-1,24,25,22,-1,24,22,23,-1,26,27,25,-1,26,25,24,-1,28,29,27,-1,28,27,26,-1,30,31,29,-1,30,29,28,-1]
coord Coordinate { point [-0.472 0.098 0.059,-0.551 0.098 0.000,-0.551 0.098 0.059,-0.472 0.098 0.000,-0.462 0.098 0.060,-0.447 0.098 0.003,-0.453 0.098 0.064,-0.423 0.098 0.013,-0.403 0.098 0.029,-0.445 0.098 0.071,-0.387 0.098 0.049,-0.438 0.098 0.079,-0.377 0.098 0.073,-0.434 0.098 0.088,-0.374 0.098 0.098,-0.433 0.098 0.098,-0.374 0.098 0.256,-0.433 0.098 0.256,-0.373 0.098 0.266,-0.430 0.098 0.281,-0.369 0.098 0.276,-0.420 0.098 0.305,-0.362 0.098 0.284,-0.404 0.098 0.326,-0.384 0.098 0.341,-0.354 0.098 0.290,-0.360 0.098 0.351,-0.345 0.098 0.294,-0.335 0.098 0.354,-0.335 0.098 0.295,-0.315 0.098 0.354,-0.315 0.098 0.295]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,3,1,-1]
coord Coordinate { point [-0.305 0.098 0.354,-0.315 0.276 0.354,-0.315 0.098 0.354,-0.305 0.276 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.335 -0.098 0.295,-0.345 -0.098 0.294,-0.335 0.098 0.295,-0.345 0.098 0.294,-0.354 -0.098 0.290,-0.354 0.098 0.290,-0.362 -0.098 0.284,-0.362 0.098 0.284,-0.369 -0.098 0.276,-0.369 0.098 0.276,-0.373 -0.098 0.266,-0.373 0.098 0.266,-0.374 -0.098 0.256,-0.374 0.098 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,3,4,-1,1,3,2,-1,3,5,4,-1,2,6,0,-1,6,7,0,-1,0,7,1,-1,1,8,3,-1,7,8,1,-1,5,9,4,-1,3,9,5,-1,8,9,3,-1,10,11,2,-1,2,11,6,-1,11,12,6,-1,6,12,7,-1,7,13,8,-1,12,13,7,-1,4,14,15,-1,9,14,4,-1,8,14,9,-1,13,14,8,-1,10,16,11,-1,11,17,12,-1,10,17,16,-1,16,17,11,-1,15,18,10,-1,12,18,13,-1,10,18,17,-1,17,18,12,-1,14,19,15,-1,13,19,14,-1,15,19,18,-1,18,19,13,-1]
coord Coordinate { point [0.275 0.548 0.406,0.281 0.542 0.406,0.273 0.561 0.354,0.286 0.537 0.406,0.305 0.529 0.354,0.292 0.531 0.406,0.271 0.542 0.457,0.276 0.537 0.457,0.281 0.531 0.457,0.286 0.526 0.457,0.255 0.525 0.610,0.266 0.535 0.508,0.271 0.531 0.508,0.275 0.526 0.508,0.279 0.522 0.508,0.269 0.511 0.610,0.262 0.529 0.559,0.265 0.525 0.559,0.269 0.521 0.559,0.273 0.518 0.559]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,0,2,3,-1,4,5,6,-1,4,7,5,-1,4,3,7,-1,4,0,3,-1]
coord Coordinate { point [0.269 0.511 0.610,0.255 0.525 0.610,-0.255 0.525 0.610,-0.269 0.511 0.610,0.269 -0.511 0.610,-0.255 -0.525 0.610,0.255 -0.525 0.610,-0.269 -0.511 0.610]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,3,4,-1,1,3,2,-1,3,5,4,-1,2,6,0,-1,6,7,0,-1,0,7,1,-1,1,8,3,-1,7,8,1,-1,5,9,4,-1,3,9,5,-1,8,9,3,-1,10,11,2,-1,2,11,6,-1,11,12,6,-1,6,12,7,-1,7,13,8,-1,12,13,7,-1,4,14,15,-1,9,14,4,-1,8,14,9,-1,13,14,8,-1,10,16,11,-1,11,17,12,-1,10,17,16,-1,16,17,11,-1,15,18,10,-1,12,18,13,-1,10,18,17,-1,17,18,12,-1,14,19,15,-1,13,19,14,-1,15,19,18,-1,18,19,13,-1]
coord Coordinate { point [-0.292 0.531 0.406,-0.286 0.537 0.406,-0.305 0.529 0.354,-0.281 0.542 0.406,-0.273 0.561 0.354,-0.275 0.548 0.406,-0.286 0.526 0.457,-0.281 0.531 0.457,-0.276 0.537 0.457,-0.271 0.542 0.457,-0.269 0.511 0.610,-0.279 0.522 0.508,-0.275 0.526 0.508,-0.271 0.531 0.508,-0.266 0.535 0.508,-0.255 0.525 0.610,-0.273 0.518 0.559,-0.269 0.521 0.559,-0.265 0.525 0.559,-0.262 0.529 0.559]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,5,4,6,-1,6,4,7,-1,1,4,3,-1]
coord Coordinate { point [0.305 0.529 0.354,0.269 0.511 0.610,0.305 0.472 0.354,0.305 0.276 0.354,0.269 -0.511 0.610,0.305 -0.276 0.354,0.305 -0.472 0.354,0.305 -0.529 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,2,4,5,-1,1,4,2,-1]
coord Coordinate { point [0.335 0.276 0.354,0.315 0.472 0.354,0.315 0.276 0.354,0.335 0.472 0.354,0.305 0.472 0.354,0.305 0.276 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [0.433 0.472 0.256,0.430 0.472 0.281,0.433 0.276 0.256,0.430 0.276 0.281,0.420 0.472 0.305,0.420 0.276 0.305,0.404 0.472 0.326,0.404 0.276 0.326,0.384 0.472 0.341,0.384 0.276 0.341,0.360 0.472 0.351,0.360 0.276 0.351,0.335 0.472 0.354,0.335 0.276 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.433 0.472 0.098,0.433 0.472 0.256,0.433 0.276 0.098,0.433 0.276 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [0.472 0.472 0.059,0.462 0.472 0.060,0.472 0.276 0.059,0.462 0.276 0.060,0.453 0.472 0.064,0.453 0.276 0.064,0.445 0.472 0.071,0.445 0.276 0.071,0.438 0.472 0.079,0.438 0.276 0.079,0.434 0.472 0.088,0.434 0.276 0.088,0.433 0.472 0.098,0.433 0.276 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.551 0.472 0.059,0.472 0.472 0.059,0.551 0.276 0.059,0.472 0.276 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.551 0.472 0.000,0.551 0.472 0.059,0.551 0.276 0.000,0.551 0.276 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.472 0.472 0.000,0.551 0.472 0.000,0.472 0.276 0.000,0.551 0.276 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [0.374 0.472 0.098,0.377 0.472 0.073,0.374 0.276 0.098,0.377 0.276 0.073,0.387 0.472 0.049,0.387 0.276 0.049,0.403 0.472 0.029,0.403 0.276 0.029,0.423 0.472 0.013,0.423 0.276 0.013,0.447 0.472 0.003,0.447 0.276 0.003,0.472 0.472 0.000,0.472 0.276 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.374 0.472 0.256,0.374 0.472 0.098,0.374 0.276 0.256,0.374 0.276 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,2,4,5,-1,1,4,2,-1]
coord Coordinate { point [0.335 -0.472 0.354,0.315 -0.276 0.354,0.315 -0.472 0.354,0.335 -0.276 0.354,0.305 -0.276 0.354,0.305 -0.472 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [0.433 -0.276 0.256,0.430 -0.276 0.281,0.433 -0.472 0.256,0.430 -0.472 0.281,0.420 -0.276 0.305,0.420 -0.472 0.305,0.404 -0.276 0.326,0.404 -0.472 0.326,0.384 -0.276 0.341,0.384 -0.472 0.341,0.360 -0.276 0.351,0.360 -0.472 0.351,0.335 -0.276 0.354,0.335 -0.472 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.433 -0.276 0.098,0.433 -0.276 0.256,0.433 -0.472 0.098,0.433 -0.472 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [0.472 -0.276 0.059,0.462 -0.276 0.060,0.472 -0.472 0.059,0.462 -0.472 0.060,0.453 -0.276 0.064,0.453 -0.472 0.064,0.445 -0.276 0.071,0.445 -0.472 0.071,0.438 -0.276 0.079,0.438 -0.472 0.079,0.434 -0.276 0.088,0.434 -0.472 0.088,0.433 -0.276 0.098,0.433 -0.472 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.551 -0.276 0.059,0.472 -0.276 0.059,0.551 -0.472 0.059,0.472 -0.472 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.551 -0.276 0.000,0.551 -0.276 0.059,0.551 -0.472 0.000,0.551 -0.472 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.472 -0.276 0.000,0.551 -0.276 0.000,0.472 -0.472 0.000,0.551 -0.472 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [0.374 -0.276 0.098,0.377 -0.276 0.073,0.374 -0.472 0.098,0.377 -0.472 0.073,0.387 -0.276 0.049,0.387 -0.472 0.049,0.403 -0.276 0.029,0.403 -0.472 0.029,0.423 -0.276 0.013,0.423 -0.472 0.013,0.447 -0.276 0.003,0.447 -0.472 0.003,0.472 -0.276 0.000,0.472 -0.472 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [0.374 -0.276 0.256,0.374 -0.276 0.098,0.374 -0.472 0.256,0.374 -0.472 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,3,4,-1,1,3,2,-1,3,5,4,-1,2,6,0,-1,6,7,0,-1,0,7,1,-1,1,8,3,-1,7,8,1,-1,5,9,4,-1,3,9,5,-1,8,9,3,-1,10,11,2,-1,2,11,6,-1,11,12,6,-1,6,12,7,-1,7,13,8,-1,12,13,7,-1,4,14,15,-1,9,14,4,-1,8,14,9,-1,13,14,8,-1,10,16,11,-1,11,17,12,-1,10,17,16,-1,16,17,11,-1,15,18,10,-1,12,18,13,-1,10,18,17,-1,17,18,12,-1,14,19,15,-1,13,19,14,-1,15,19,18,-1,18,19,13,-1]
coord Coordinate { point [0.292 -0.531 0.406,0.286 -0.537 0.406,0.305 -0.529 0.354,0.281 -0.542 0.406,0.273 -0.561 0.354,0.275 -0.548 0.406,0.286 -0.526 0.457,0.281 -0.531 0.457,0.276 -0.537 0.457,0.271 -0.542 0.457,0.269 -0.511 0.610,0.279 -0.522 0.508,0.275 -0.526 0.508,0.271 -0.531 0.508,0.266 -0.535 0.508,0.255 -0.525 0.610,0.273 -0.518 0.559,0.269 -0.521 0.559,0.265 -0.525 0.559,0.262 -0.529 0.559]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,4,0,-1,0,4,1,-1,2,5,0,-1,3,6,4,-1,2,7,5,-1,1,7,2,-1,4,8,1,-1,1,8,7,-1,0,9,10,-1,5,9,0,-1,4,11,8,-1,3,11,6,-1,6,11,4,-1,7,12,5,-1,5,12,9,-1,8,13,7,-1,7,13,12,-1,9,14,10,-1,15,16,3,-1,3,16,11,-1,11,16,8,-1,8,16,13,-1,14,17,10,-1,12,17,9,-1,9,17,14,-1,10,18,15,-1,13,18,12,-1,17,18,10,-1,12,18,17,-1,18,19,15,-1,15,19,16,-1,13,19,18,-1,16,19,13,-1]
coord Coordinate { point [0.273 -0.561 0.354,0.159 -0.547 0.457,0.161 -0.554 0.406,0.255 -0.525 0.610,0.157 -0.539 0.508,0.054 -0.554 0.406,0.155 -0.532 0.559,0.053 -0.547 0.457,0.052 -0.539 0.508,-0.054 -0.554 0.406,-0.273 -0.561 0.354,0.052 -0.532 0.559,-0.053 -0.547 0.457,-0.052 -0.539 0.508,-0.161 -0.554 0.406,-0.255 -0.525 0.610,-0.052 -0.532 0.559,-0.159 -0.547 0.457,-0.157 -0.539 0.508,-0.155 -0.532 0.559]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,3,4,-1,1,3,2,-1,3,5,4,-1,2,6,0,-1,6,7,0,-1,0,7,1,-1,1,8,3,-1,7,8,1,-1,5,9,4,-1,3,9,5,-1,8,9,3,-1,10,11,2,-1,2,11,6,-1,11,12,6,-1,6,12,7,-1,7,13,8,-1,12,13,7,-1,4,14,15,-1,9,14,4,-1,8,14,9,-1,13,14,8,-1,10,16,11,-1,11,17,12,-1,10,17,16,-1,16,17,11,-1,15,18,10,-1,12,18,13,-1,10,18,17,-1,17,18,12,-1,14,19,15,-1,13,19,14,-1,15,19,18,-1,18,19,13,-1]
coord Coordinate { point [-0.275 -0.548 0.406,-0.281 -0.542 0.406,-0.273 -0.561 0.354,-0.286 -0.537 0.406,-0.305 -0.529 0.354,-0.292 -0.531 0.406,-0.271 -0.542 0.457,-0.276 -0.537 0.457,-0.281 -0.531 0.457,-0.286 -0.526 0.457,-0.255 -0.525 0.610,-0.266 -0.535 0.508,-0.271 -0.531 0.508,-0.275 -0.526 0.508,-0.279 -0.522 0.508,-0.269 -0.511 0.610,-0.262 -0.529 0.559,-0.265 -0.525 0.559,-0.269 -0.521 0.559,-0.273 -0.518 0.559]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,1,4,3,-1,4,5,6,-1,7,5,8,-1,6,5,9,-1,9,5,7,-1,1,5,4,-1]
coord Coordinate { point [-0.305 -0.529 0.354,-0.269 -0.511 0.610,-0.305 -0.472 0.354,-0.305 -0.276 0.354,-0.305 -0.098 0.354,-0.269 0.511 0.610,-0.305 0.098 0.354,-0.305 0.472 0.354,-0.305 0.529 0.354,-0.305 0.276 0.354]
}}
appearance Appearance{material USE IC-BODY-EPOXY-04 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,2,4,5,-1,1,4,2,-1]
coord Coordinate { point [-0.335 -0.276 0.354,-0.315 -0.472 0.354,-0.315 -0.276 0.354,-0.335 -0.472 0.354,-0.305 -0.472 0.354,-0.305 -0.276 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.433 -0.472 0.256,-0.430 -0.472 0.281,-0.433 -0.276 0.256,-0.430 -0.276 0.281,-0.420 -0.472 0.305,-0.420 -0.276 0.305,-0.404 -0.472 0.326,-0.404 -0.276 0.326,-0.384 -0.472 0.341,-0.384 -0.276 0.341,-0.360 -0.472 0.351,-0.360 -0.276 0.351,-0.335 -0.472 0.354,-0.335 -0.276 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.433 -0.472 0.098,-0.433 -0.472 0.256,-0.433 -0.276 0.098,-0.433 -0.276 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.472 -0.472 0.059,-0.462 -0.472 0.060,-0.472 -0.276 0.059,-0.462 -0.276 0.060,-0.453 -0.472 0.064,-0.453 -0.276 0.064,-0.445 -0.472 0.071,-0.445 -0.276 0.071,-0.438 -0.472 0.079,-0.438 -0.276 0.079,-0.434 -0.472 0.088,-0.434 -0.276 0.088,-0.433 -0.472 0.098,-0.433 -0.276 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.551 -0.472 0.059,-0.472 -0.472 0.059,-0.551 -0.276 0.059,-0.472 -0.276 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.551 -0.472 0.000,-0.551 -0.472 0.059,-0.551 -0.276 0.000,-0.551 -0.276 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.472 -0.472 0.000,-0.551 -0.472 0.000,-0.472 -0.276 0.000,-0.551 -0.276 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.374 -0.472 0.098,-0.377 -0.472 0.073,-0.374 -0.276 0.098,-0.377 -0.276 0.073,-0.387 -0.472 0.049,-0.387 -0.276 0.049,-0.403 -0.472 0.029,-0.403 -0.276 0.029,-0.423 -0.472 0.013,-0.423 -0.276 0.013,-0.447 -0.472 0.003,-0.447 -0.276 0.003,-0.472 -0.472 0.000,-0.472 -0.276 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.374 -0.472 0.256,-0.374 -0.472 0.098,-0.374 -0.276 0.256,-0.374 -0.276 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,2,4,5,-1,1,4,2,-1]
coord Coordinate { point [-0.335 0.472 0.354,-0.315 0.276 0.354,-0.315 0.472 0.354,-0.335 0.276 0.354,-0.305 0.276 0.354,-0.305 0.472 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.433 0.276 0.256,-0.430 0.276 0.281,-0.433 0.472 0.256,-0.430 0.472 0.281,-0.420 0.276 0.305,-0.420 0.472 0.305,-0.404 0.276 0.326,-0.404 0.472 0.326,-0.384 0.276 0.341,-0.384 0.472 0.341,-0.360 0.276 0.351,-0.360 0.472 0.351,-0.335 0.276 0.354,-0.335 0.472 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.433 0.276 0.098,-0.433 0.276 0.256,-0.433 0.472 0.098,-0.433 0.472 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.472 0.276 0.059,-0.462 0.276 0.060,-0.472 0.472 0.059,-0.462 0.472 0.060,-0.453 0.276 0.064,-0.453 0.472 0.064,-0.445 0.276 0.071,-0.445 0.472 0.071,-0.438 0.276 0.079,-0.438 0.472 0.079,-0.434 0.276 0.088,-0.434 0.472 0.088,-0.433 0.276 0.098,-0.433 0.472 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.551 0.276 0.059,-0.472 0.276 0.059,-0.551 0.472 0.059,-0.472 0.472 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.551 0.276 0.000,-0.551 0.276 0.059,-0.551 0.472 0.000,-0.551 0.472 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.472 0.276 0.000,-0.551 0.276 0.000,-0.472 0.472 0.000,-0.551 0.472 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.374 0.276 0.098,-0.377 0.276 0.073,-0.374 0.472 0.098,-0.377 0.472 0.073,-0.387 0.276 0.049,-0.387 0.472 0.049,-0.403 0.276 0.029,-0.403 0.472 0.029,-0.423 0.276 0.013,-0.423 0.472 0.013,-0.447 0.276 0.003,-0.447 0.472 0.003,-0.472 0.276 0.000,-0.472 0.472 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.374 0.276 0.256,-0.374 0.276 0.098,-0.374 0.472 0.256,-0.374 0.472 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,3,1,0,-1,2,4,5,-1,1,4,2,-1]
coord Coordinate { point [-0.335 0.098 0.354,-0.315 -0.098 0.354,-0.315 0.098 0.354,-0.335 -0.098 0.354,-0.305 -0.098 0.354,-0.305 0.098 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.433 -0.098 0.256,-0.430 -0.098 0.281,-0.433 0.098 0.256,-0.430 0.098 0.281,-0.420 -0.098 0.305,-0.420 0.098 0.305,-0.404 -0.098 0.326,-0.404 0.098 0.326,-0.384 -0.098 0.341,-0.384 0.098 0.341,-0.360 -0.098 0.351,-0.360 0.098 0.351,-0.335 -0.098 0.354,-0.335 0.098 0.354]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.433 -0.098 0.098,-0.433 -0.098 0.256,-0.433 0.098 0.098,-0.433 0.098 0.256]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.472 -0.098 0.059,-0.462 -0.098 0.060,-0.472 0.098 0.059,-0.462 0.098 0.060,-0.453 -0.098 0.064,-0.453 0.098 0.064,-0.445 -0.098 0.071,-0.445 0.098 0.071,-0.438 -0.098 0.079,-0.438 0.098 0.079,-0.434 -0.098 0.088,-0.434 0.098 0.088,-0.433 -0.098 0.098,-0.433 0.098 0.098]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.551 -0.098 0.059,-0.472 -0.098 0.059,-0.551 0.098 0.059,-0.472 0.098 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.551 -0.098 0.000,-0.551 -0.098 0.059,-0.551 0.098 0.000,-0.551 0.098 0.059]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.472 -0.098 0.000,-0.551 -0.098 0.000,-0.472 0.098 0.000,-0.551 0.098 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1,3,4,5,-1,1,4,3,-1,5,6,7,-1,4,6,5,-1,7,8,9,-1,6,8,7,-1,9,10,11,-1,8,10,9,-1,11,12,13,-1,10,12,11,-1]
coord Coordinate { point [-0.374 -0.098 0.098,-0.377 -0.098 0.073,-0.374 0.098 0.098,-0.377 0.098 0.073,-0.387 -0.098 0.049,-0.387 0.098 0.049,-0.403 -0.098 0.029,-0.403 0.098 0.029,-0.423 -0.098 0.013,-0.423 0.098 0.013,-0.447 -0.098 0.003,-0.447 0.098 0.003,-0.472 -0.098 0.000,-0.472 0.098 0.000]
}}
appearance Appearance{material USE PIN-01 }
}
Shape { geometry IndexedFaceSet
{ creaseAngle 0.50 coordIndex [0,1,2,-1,2,1,3,-1]
coord Coordinate { point [-0.374 -0.098 0.256,-0.374 -0.098 0.098,-0.374 0.098 0.256,-0.374 0.098 0.098]
}}
appearance Appearance{material USE PIN-01 }
}

View File

@ -0,0 +1,36 @@
(module SOT-23-5_HandSoldering (layer F.Cu) (tedit 5BD952B9)
(descr "5-pin SOT23 package")
(tags "SOT-23-5 hand-soldering")
(attr smd)
(fp_text reference REF** (at 0 -2.9) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SOT-23-5_HandSoldering (at 0 2.9) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12))
(fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12))
(fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
(fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start -2.38 -1.8) (end 2.38 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.38 -1.8) (end -2.38 1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.38 1.8) (end 2.38 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.38 1.8) (end -2.38 1.8) (layer F.CrtYd) (width 0.05))
(pad 1 smd rect (at -1.35 -0.95) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -1.35 0) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -1.35 0.95) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 1.35 0.95) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1.35 -0.95) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask))
(pad "" smd circle (at -2.9 -0.9 180) (size 0.6 0.6) (layers F.SilkS))
(model ${KIPRJMOD}/tomu-fpga.pretty/SOT-23-5.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,17 @@
(module TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F)
(descr "SMD pad as test Point, diameter 1.5mm")
(tags "test point SMD pad")
(attr virtual)
(fp_text reference REF** (at 0 -1.648) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value TestPoint_Pad_D1.5mm (at 0 1.75) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 -1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
(pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask))
)

View File

@ -1,4 +1,4 @@
(module XTAL-2520 (layer F.Cu) (tedit 5BD93025)
(module XTAL-2520 (layer F.Cu) (tedit 5BD93EB1)
(fp_text reference REF** (at -0.1 2.3) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.1)))
)
@ -13,6 +13,7 @@
(pad 2 smd rect (at 0.925 -0.725 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at -0.925 -0.725 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at -0.925 0.725 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask))
(pad "" smd circle (at -1.7 -0.8 180) (size 0.3 0.3) (layers F.SilkS))
(model ${KISYS3DMOD}/Oscillators.3dshapes/Oscillator_SMD_TCXO_G158.wrl
(at (xyz 0 0 0))
(scale (xyz 0.11 0.18 0.1))