samerand/memtest/.build/memtest.json

7515 lines
278 KiB
JSON

{
"creator": "Yosys 0.8+ (git sha1 UNKNOWN, x86_64-w64-mingw32-g++ 7.3-posix -O3 -DNDEBUG)",
"modules": {
"ICESTORM_LC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:667"
},
"ports": {
"I0": {
"direction": "input",
"bits": [ 2 ]
},
"I1": {
"direction": "input",
"bits": [ 3 ]
},
"I2": {
"direction": "input",
"bits": [ 4 ]
},
"I3": {
"direction": "input",
"bits": [ 5 ]
},
"CIN": {
"direction": "input",
"bits": [ 6 ]
},
"CLK": {
"direction": "input",
"bits": [ 7 ]
},
"CEN": {
"direction": "input",
"bits": [ 8 ]
},
"SR": {
"direction": "input",
"bits": [ 9 ]
},
"LO": {
"direction": "output",
"bits": [ 10 ]
},
"O": {
"direction": "output",
"bits": [ 11 ]
},
"COUT": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CEN": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"CIN": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"COUT": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669"
}
},
"I0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"I1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"I2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"I3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"LO": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669"
}
},
"O": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669"
}
},
"SR": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
}
}
},
"SB_CARRY": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
},
"ports": {
"CO": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"CI": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"CI": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
}
},
"CO": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
}
},
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
}
}
}
},
"SB_DFF": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135"
}
}
}
},
"SB_DFFE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
}
}
}
},
"SB_DFFER": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
}
}
},
"SB_DFFES": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
}
}
},
"SB_DFFESR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
}
}
},
"SB_DFFESS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
}
}
},
"SB_DFFN": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216"
}
}
}
},
"SB_DFFNE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
}
}
}
},
"SB_DFFNER": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
}
}
},
"SB_DFFNES": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
}
}
},
"SB_DFFNESR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
}
}
},
"SB_DFFNESS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
}
}
},
"SB_DFFNR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
}
}
}
},
"SB_DFFNS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
}
}
}
},
"SB_DFFNSR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
}
}
}
},
"SB_DFFNSS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
}
}
}
},
"SB_DFFR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
}
}
}
},
"SB_DFFS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
}
}
}
},
"SB_DFFSR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
}
}
}
},
"SB_DFFSS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
}
}
}
},
"SB_FILTER_50NS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1138"
},
"ports": {
"FILTERIN": {
"direction": "input",
"bits": [ 2 ]
},
"FILTEROUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"FILTERIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1139"
}
},
"FILTEROUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1140"
}
}
}
},
"SB_GB": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:112"
},
"ports": {
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"direction": "input",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:114"
}
},
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:113"
}
}
}
},
"SB_GB_IO": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:73"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 4 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 5 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 7 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 9 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 10 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 11 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:77"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:83"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:84"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:81"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:82"
}
},
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:75"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:78"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:76"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:79"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:80"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:74"
}
}
}
},
"SB_HFOSC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:981"
},
"ports": {
"CLKHFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKHFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKHF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKHF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:984"
}
},
"CLKHFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:983"
}
},
"CLKHFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:982"
}
}
}
},
"SB_I2C": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1015"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"SCLI": {
"direction": "input",
"bits": [ 21 ]
},
"SDAI": {
"direction": "input",
"bits": [ 22 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 23 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 24 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 30 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 31 ]
},
"I2CIRQ": {
"direction": "output",
"bits": [ 32 ]
},
"I2CWKUP": {
"direction": "output",
"bits": [ 33 ]
},
"SCLO": {
"direction": "output",
"bits": [ 34 ]
},
"SCLOE": {
"direction": "output",
"bits": [ 35 ]
},
"SDAO": {
"direction": "output",
"bits": [ 36 ]
},
"SDAOE": {
"direction": "output",
"bits": [ 37 ]
}
},
"cells": {
},
"netnames": {
"I2CIRQ": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1046"
}
},
"I2CWKUP": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1047"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1045"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1026"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1025"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1024"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1023"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1022"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1021"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1020"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1019"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1016"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1034"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1033"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1032"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1031"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1030"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1029"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1028"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1027"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1044"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1043"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1042"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1041"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1040"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1039"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1038"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1037"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1017"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1018"
}
},
"SCLI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1035"
}
},
"SCLO": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1048"
}
},
"SCLOE": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1049"
}
},
"SDAI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1036"
}
},
"SDAO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1050"
}
},
"SDAOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1051"
}
}
}
},
"SB_IO": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:7"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:10"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:16"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:17"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:14"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:15"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:11"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:9"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:12"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:13"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:8"
}
}
}
},
"SB_IO_I3C": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1144"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
},
"PU_ENB": {
"direction": "input",
"bits": [ 12 ]
},
"WEAK_PU_ENB": {
"direction": "input",
"bits": [ 13 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1147"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1153"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1154"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1151"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1152"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1148"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1146"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1149"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1150"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1145"
}
},
"PU_ENB": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1155"
}
},
"WEAK_PU_ENB": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1156"
}
}
}
},
"SB_IO_OD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1213"
},
"ports": {
"PACKAGEPIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCKENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUTCLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUTCLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUTENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"DOUT1": {
"direction": "input",
"bits": [ 8 ]
},
"DOUT0": {
"direction": "input",
"bits": [ 9 ]
},
"DIN1": {
"direction": "output",
"bits": [ 10 ]
},
"DIN0": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCKENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1216"
}
},
"DIN0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1223"
}
},
"DIN1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1222"
}
},
"DOUT0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1221"
}
},
"DOUT1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1220"
}
},
"INPUTCLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1217"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1215"
}
},
"OUTPUTCLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1218"
}
},
"OUTPUTENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1219"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1214"
}
}
}
},
"SB_LEDDA_IP": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1112"
},
"ports": {
"LEDDCS": {
"direction": "input",
"bits": [ 2 ]
},
"LEDDCLK": {
"direction": "input",
"bits": [ 3 ]
},
"LEDDDAT7": {
"direction": "input",
"bits": [ 4 ]
},
"LEDDDAT6": {
"direction": "input",
"bits": [ 5 ]
},
"LEDDDAT5": {
"direction": "input",
"bits": [ 6 ]
},
"LEDDDAT4": {
"direction": "input",
"bits": [ 7 ]
},
"LEDDDAT3": {
"direction": "input",
"bits": [ 8 ]
},
"LEDDDAT2": {
"direction": "input",
"bits": [ 9 ]
},
"LEDDDAT1": {
"direction": "input",
"bits": [ 10 ]
},
"LEDDDAT0": {
"direction": "input",
"bits": [ 11 ]
},
"LEDDADDR3": {
"direction": "input",
"bits": [ 12 ]
},
"LEDDADDR2": {
"direction": "input",
"bits": [ 13 ]
},
"LEDDADDR1": {
"direction": "input",
"bits": [ 14 ]
},
"LEDDADDR0": {
"direction": "input",
"bits": [ 15 ]
},
"LEDDDEN": {
"direction": "input",
"bits": [ 16 ]
},
"LEDDEXE": {
"direction": "input",
"bits": [ 17 ]
},
"LEDDRST": {
"direction": "input",
"bits": [ 18 ]
},
"PWMOUT0": {
"direction": "output",
"bits": [ 19 ]
},
"PWMOUT1": {
"direction": "output",
"bits": [ 20 ]
},
"PWMOUT2": {
"direction": "output",
"bits": [ 21 ]
},
"LEDDON": {
"direction": "output",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"LEDDADDR0": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1126"
}
},
"LEDDADDR1": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1125"
}
},
"LEDDADDR2": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1124"
}
},
"LEDDADDR3": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1123"
}
},
"LEDDCLK": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1114"
}
},
"LEDDCS": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1113"
}
},
"LEDDDAT0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1122"
}
},
"LEDDDAT1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1121"
}
},
"LEDDDAT2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1120"
}
},
"LEDDDAT3": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1119"
}
},
"LEDDDAT4": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1118"
}
},
"LEDDDAT5": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1117"
}
},
"LEDDDAT6": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1116"
}
},
"LEDDDAT7": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1115"
}
},
"LEDDDEN": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1127"
}
},
"LEDDEXE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1128"
}
},
"LEDDON": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1133"
}
},
"LEDDRST": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1129"
}
},
"PWMOUT0": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1130"
}
},
"PWMOUT1": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1131"
}
},
"PWMOUT2": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1132"
}
}
}
},
"SB_LFOSC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:990"
},
"ports": {
"CLKLFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKLFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKLF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKLF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:993"
}
},
"CLKLFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:992"
}
},
"CLKLFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:991"
}
}
}
},
"SB_LUT4": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
},
"ports": {
"O": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"I2": {
"direction": "input",
"bits": [ 5 ]
},
"I3": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
},
"I2": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
},
"I3": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
},
"O": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
}
}
},
"SB_MAC16": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:891"
},
"ports": {
"CLK": {
"direction": "input",
"bits": [ 2 ]
},
"CE": {
"direction": "input",
"bits": [ 3 ]
},
"C": {
"direction": "input",
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
},
"A": {
"direction": "input",
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
},
"B": {
"direction": "input",
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
},
"D": {
"direction": "input",
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
},
"AHOLD": {
"direction": "input",
"bits": [ 68 ]
},
"BHOLD": {
"direction": "input",
"bits": [ 69 ]
},
"CHOLD": {
"direction": "input",
"bits": [ 70 ]
},
"DHOLD": {
"direction": "input",
"bits": [ 71 ]
},
"IRSTTOP": {
"direction": "input",
"bits": [ 72 ]
},
"IRSTBOT": {
"direction": "input",
"bits": [ 73 ]
},
"ORSTTOP": {
"direction": "input",
"bits": [ 74 ]
},
"ORSTBOT": {
"direction": "input",
"bits": [ 75 ]
},
"OLOADTOP": {
"direction": "input",
"bits": [ 76 ]
},
"OLOADBOT": {
"direction": "input",
"bits": [ 77 ]
},
"ADDSUBTOP": {
"direction": "input",
"bits": [ 78 ]
},
"ADDSUBBOT": {
"direction": "input",
"bits": [ 79 ]
},
"OHOLDTOP": {
"direction": "input",
"bits": [ 80 ]
},
"OHOLDBOT": {
"direction": "input",
"bits": [ 81 ]
},
"CI": {
"direction": "input",
"bits": [ 82 ]
},
"ACCUMCI": {
"direction": "input",
"bits": [ 83 ]
},
"SIGNEXTIN": {
"direction": "input",
"bits": [ 84 ]
},
"O": {
"direction": "output",
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
},
"CO": {
"direction": "output",
"bits": [ 117 ]
},
"ACCUMCO": {
"direction": "output",
"bits": [ 118 ]
},
"SIGNEXTOUT": {
"direction": "output",
"bits": [ 119 ]
}
},
"cells": {
},
"netnames": {
"A": {
"hide_name": 0,
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:895"
}
},
"ACCUMCI": {
"hide_name": 0,
"bits": [ 83 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:913"
}
},
"ACCUMCO": {
"hide_name": 0,
"bits": [ 118 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:917"
}
},
"ADDSUBBOT": {
"hide_name": 0,
"bits": [ 79 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:909"
}
},
"ADDSUBTOP": {
"hide_name": 0,
"bits": [ 78 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:908"
}
},
"AHOLD": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:898"
}
},
"B": {
"hide_name": 0,
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:896"
}
},
"BHOLD": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:899"
}
},
"C": {
"hide_name": 0,
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:894"
}
},
"CE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:893"
}
},
"CHOLD": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:900"
}
},
"CI": {
"hide_name": 0,
"bits": [ 82 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:912"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:892"
}
},
"CO": {
"hide_name": 0,
"bits": [ 117 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:916"
}
},
"D": {
"hide_name": 0,
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:897"
}
},
"DHOLD": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:901"
}
},
"IRSTBOT": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:903"
}
},
"IRSTTOP": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:902"
}
},
"O": {
"hide_name": 0,
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:915"
}
},
"OHOLDBOT": {
"hide_name": 0,
"bits": [ 81 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:911"
}
},
"OHOLDTOP": {
"hide_name": 0,
"bits": [ 80 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:910"
}
},
"OLOADBOT": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:907"
}
},
"OLOADTOP": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:906"
}
},
"ORSTBOT": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:905"
}
},
"ORSTTOP": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:904"
}
},
"SIGNEXTIN": {
"hide_name": 0,
"bits": [ 84 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:914"
}
},
"SIGNEXTOUT": {
"hide_name": 0,
"bits": [ 119 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:918"
}
}
}
},
"SB_PLL40_2F_CORE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:810"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:819"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:817"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:816"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:821"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:818"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:812"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:814"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:813"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:815"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:811"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:820"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:824"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:823"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:822"
}
}
}
},
"SB_PLL40_2F_PAD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:845"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:854"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:852"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:851"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:856"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:853"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:846"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:847"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:849"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:848"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:850"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:855"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:859"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:858"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:857"
}
}
}
},
"SB_PLL40_2_PAD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:776"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:785"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:783"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:782"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:787"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:784"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:777"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:778"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:780"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:779"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:781"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:786"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:790"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:789"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:788"
}
}
}
},
"SB_PLL40_CORE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:714"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:721"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:719"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:718"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:723"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:720"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:716"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:717"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:715"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:722"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:726"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:725"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:724"
}
}
}
},
"SB_PLL40_PAD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:745"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:752"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:750"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:749"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:754"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:751"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:746"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:747"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:748"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:753"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:757"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:756"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:755"
}
}
}
},
"SB_RAM40_4K": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:297"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:300"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:298"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:302"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301"
}
}
}
},
"SB_RAM40_4KNR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:479"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:482"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:480"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:484"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483"
}
}
}
},
"SB_RAM40_4KNRNW": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:603"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:606"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:604"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:608"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607"
}
}
}
},
"SB_RAM40_4KNW": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:541"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:544"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:542"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:546"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545"
}
}
}
},
"SB_RGBA_DRV": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:998"
},
"ports": {
"CURREN": {
"direction": "input",
"bits": [ 2 ]
},
"RGBLEDEN": {
"direction": "input",
"bits": [ 3 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"CURREN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:999"
}
},
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1004"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1001"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1005"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1002"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1006"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1003"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1000"
}
}
}
},
"SB_SPI": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1058"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"MI": {
"direction": "input",
"bits": [ 21 ]
},
"SI": {
"direction": "input",
"bits": [ 22 ]
},
"SCKI": {
"direction": "input",
"bits": [ 23 ]
},
"SCSNI": {
"direction": "input",
"bits": [ 24 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 30 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 31 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 32 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 33 ]
},
"SPIIRQ": {
"direction": "output",
"bits": [ 34 ]
},
"SPIWKUP": {
"direction": "output",
"bits": [ 35 ]
},
"SO": {
"direction": "output",
"bits": [ 36 ]
},
"SOE": {
"direction": "output",
"bits": [ 37 ]
},
"MO": {
"direction": "output",
"bits": [ 38 ]
},
"MOE": {
"direction": "output",
"bits": [ 39 ]
},
"SCKO": {
"direction": "output",
"bits": [ 40 ]
},
"SCKOE": {
"direction": "output",
"bits": [ 41 ]
},
"MCSNO3": {
"direction": "output",
"bits": [ 42 ]
},
"MCSNO2": {
"direction": "output",
"bits": [ 43 ]
},
"MCSNO1": {
"direction": "output",
"bits": [ 44 ]
},
"MCSNO0": {
"direction": "output",
"bits": [ 45 ]
},
"MCSNOE3": {
"direction": "output",
"bits": [ 46 ]
},
"MCSNOE2": {
"direction": "output",
"bits": [ 47 ]
},
"MCSNOE1": {
"direction": "output",
"bits": [ 48 ]
},
"MCSNOE0": {
"direction": "output",
"bits": [ 49 ]
}
},
"cells": {
},
"netnames": {
"MCSNO0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1102"
}
},
"MCSNO1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1101"
}
},
"MCSNO2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1100"
}
},
"MCSNO3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1099"
}
},
"MCSNOE0": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1106"
}
},
"MCSNOE1": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1105"
}
},
"MCSNOE2": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1104"
}
},
"MCSNOE3": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1103"
}
},
"MI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1078"
}
},
"MO": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1095"
}
},
"MOE": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1096"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1090"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1069"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1068"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1067"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1066"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1065"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1064"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1063"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1062"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1059"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1077"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1076"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1075"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1074"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1073"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1072"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1071"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1070"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1089"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1088"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1087"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1086"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1085"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1084"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1083"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1082"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1060"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1061"
}
},
"SCKI": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1080"
}
},
"SCKO": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1097"
}
},
"SCKOE": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1098"
}
},
"SCSNI": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1081"
}
},
"SI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1079"
}
},
"SO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1093"
}
},
"SOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1094"
}
},
"SPIIRQ": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1091"
}
},
"SPIWKUP": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1092"
}
}
}
},
"SB_SPRAM256KA": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:942"
},
"ports": {
"ADDRESS": {
"direction": "input",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"DATAIN": {
"direction": "input",
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"MASKWREN": {
"direction": "input",
"bits": [ 32, 33, 34, 35 ]
},
"WREN": {
"direction": "input",
"bits": [ 36 ]
},
"CHIPSELECT": {
"direction": "input",
"bits": [ 37 ]
},
"CLOCK": {
"direction": "input",
"bits": [ 38 ]
},
"STANDBY": {
"direction": "input",
"bits": [ 39 ]
},
"SLEEP": {
"direction": "input",
"bits": [ 40 ]
},
"POWEROFF": {
"direction": "input",
"bits": [ 41 ]
},
"DATAOUT": {
"direction": "output",
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
}
},
"cells": {
},
"netnames": {
"ADDRESS": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:943"
}
},
"CHIPSELECT": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"CLOCK": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"DATAIN": {
"hide_name": 0,
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:944"
}
},
"DATAOUT": {
"hide_name": 0,
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:947"
}
},
"MASKWREN": {
"hide_name": 0,
"bits": [ 32, 33, 34, 35 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:945"
}
},
"POWEROFF": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"SLEEP": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"STANDBY": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"WREN": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
}
}
},
"SB_WARMBOOT": {
"attributes": {
"blackbox": 1,
"keep": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:882"
},
"ports": {
"BOOT": {
"direction": "input",
"bits": [ 2 ]
},
"S1": {
"direction": "input",
"bits": [ 3 ]
},
"S0": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"BOOT": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:883"
}
},
"S0": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:885"
}
},
"S1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:884"
}
}
}
},
"memtest": {
"attributes": {
"top": 1,
"src": "memtest.v:1"
},
"ports": {
"led_r": {
"direction": "output",
"bits": [ 2 ]
},
"led_g": {
"direction": "output",
"bits": [ "x" ]
},
"led_b": {
"direction": "output",
"bits": [ "x" ]
},
"pmod_1": {
"direction": "output",
"bits": [ "x" ]
},
"pmod_2": {
"direction": "output",
"bits": [ "x" ]
},
"pmod_3": {
"direction": "output",
"bits": [ "x" ]
},
"pmod_4": {
"direction": "output",
"bits": [ "x" ]
},
"user_5": {
"direction": "input",
"bits": [ 3 ]
},
"user_6": {
"direction": "input",
"bits": [ 4 ]
},
"clki": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$abc$408$auto$blifparse.cc:492:parse_blif$409": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 6
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 6 ],
"I1": [ 7 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 8 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$410": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 9 ],
"I1": [ 10 ],
"I2": [ 11 ],
"I3": [ 12 ],
"O": [ 13 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$411": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 150
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 14 ],
"I1": [ 15 ],
"I2": [ 16 ],
"I3": [ "0" ],
"O": [ 9 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$412": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 38505
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 17 ],
"I1": [ 18 ],
"I2": [ 19 ],
"I3": [ 20 ],
"O": [ 14 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$413": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 150
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 21 ],
"I1": [ 22 ],
"I2": [ 23 ],
"I3": [ "0" ],
"O": [ 15 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$414": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 24 ],
"I1": [ 25 ],
"I2": [ 26 ],
"I3": [ 27 ],
"O": [ 16 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$415": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 105
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 28 ],
"I1": [ 29 ],
"I2": [ 30 ],
"I3": [ "0" ],
"O": [ 10 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$416": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 31 ],
"I1": [ 32 ],
"I2": [ 33 ],
"I3": [ 34 ],
"O": [ 28 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$417": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 38505
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 35 ],
"I1": [ 36 ],
"I2": [ 37 ],
"I3": [ 38 ],
"O": [ 29 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$418": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 39 ],
"I1": [ 40 ],
"I2": [ 41 ],
"I3": [ 42 ],
"O": [ 11 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$419": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 38505
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 43 ],
"I1": [ 44 ],
"I2": [ 45 ],
"I3": [ 46 ],
"O": [ 39 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$420": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 150
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 47 ],
"I1": [ 48 ],
"I2": [ 49 ],
"I3": [ "0" ],
"O": [ 12 ]
}
},
"$abc$408$auto$blifparse.cc:492:parse_blif$421": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 150
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 50 ],
"I1": [ 51 ],
"I2": [ 52 ],
"I3": [ "0" ],
"O": [ 47 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[0].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "1" ],
"I2": [ 7 ],
"I3": [ "0" ],
"O": [ 53 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[10].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 54 ],
"I3": [ 55 ],
"O": [ 56 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[1].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 7 ],
"CO": [ 57 ],
"I0": [ "0" ],
"I1": [ 6 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[2].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 58 ],
"I3": [ 57 ],
"O": [ 59 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[2].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 57 ],
"CO": [ 60 ],
"I0": [ "0" ],
"I1": [ 58 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[3].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 61 ],
"I3": [ 60 ],
"O": [ 62 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[3].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 60 ],
"CO": [ 63 ],
"I0": [ "0" ],
"I1": [ 61 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[4].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 64 ],
"I3": [ 63 ],
"O": [ 65 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[4].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 63 ],
"CO": [ 66 ],
"I0": [ "0" ],
"I1": [ 64 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[5].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 67 ],
"I3": [ 66 ],
"O": [ 68 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[5].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 66 ],
"CO": [ 69 ],
"I0": [ "0" ],
"I1": [ 67 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[6].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 70 ],
"I3": [ 69 ],
"O": [ 71 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[6].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 69 ],
"CO": [ 72 ],
"I0": [ "0" ],
"I1": [ 70 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[7].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 73 ],
"I3": [ 72 ],
"O": [ 74 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[7].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 72 ],
"CO": [ 75 ],
"I0": [ "0" ],
"I1": [ 73 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[8].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 76 ],
"I3": [ 75 ],
"O": [ 77 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[8].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 75 ],
"CO": [ 78 ],
"I0": [ "0" ],
"I1": [ 76 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[9].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 79 ],
"I3": [ 78 ],
"O": [ 80 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[9].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 78 ],
"CO": [ 55 ],
"I0": [ "0" ],
"I1": [ 79 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$319": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 13 ],
"Q": [ 2 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$320": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 53 ],
"Q": [ 7 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$321": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 8 ],
"Q": [ 6 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$322": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 59 ],
"Q": [ 58 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$323": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 62 ],
"Q": [ 61 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$324": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 65 ],
"Q": [ 64 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$325": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 68 ],
"Q": [ 67 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$326": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 71 ],
"Q": [ 70 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$327": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 74 ],
"Q": [ 73 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$328": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 77 ],
"Q": [ 76 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$329": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 80 ],
"Q": [ 79 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$330": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 81 ],
"D": [ 56 ],
"Q": [ 54 ]
}
},
"clk_gb": {
"hide_name": 0,
"type": "SB_GB",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:19"
},
"port_directions": {
"GLOBAL_BUFFER_OUTPUT": "output",
"USER_SIGNAL_TO_GLOBAL_BUFFER": "input"
},
"connections": {
"GLOBAL_BUFFER_OUTPUT": [ 81 ],
"USER_SIGNAL_TO_GLOBAL_BUFFER": [ 5 ]
}
},
"mem.0.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111",
"INIT_1": "0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001",
"INIT_2": "0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000",
"INIT_3": "0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000",
"INIT_4": "0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000",
"INIT_5": "0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010",
"INIT_6": "0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001",
"INIT_7": "0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011",
"INIT_8": "1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001",
"INIT_9": "1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011",
"INIT_A": "1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010",
"INIT_B": "1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010",
"INIT_C": "1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101",
"INIT_D": "0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111",
"INIT_E": "1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111",
"INIT_F": "1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 82, 83, 84, 40, 85, 86, 87, 88, 89, 90, 91, 43, 92, 93, 94, 95 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.1.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001",
"INIT_1": "0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010",
"INIT_2": "1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111",
"INIT_3": "1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010",
"INIT_4": "1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110",
"INIT_5": "0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100",
"INIT_6": "0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111",
"INIT_7": "1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100",
"INIT_8": "0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110",
"INIT_9": "1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000",
"INIT_A": "0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001",
"INIT_B": "1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000",
"INIT_C": "1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101",
"INIT_D": "1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011",
"INIT_E": "1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011",
"INIT_F": "1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 96, 97, 98, 21, 99, 100, 101, 102, 103, 104, 105, 22, 106, 107, 108, 109 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.10.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1100010111000001000101101010000100100101001111011111010010000000000100001111111110000001100010001011001110010011011000001100110101111100110010110000101111001000111111000001001100100001110000010000011110111101111000110011001100001111100010011001100101111011",
"INIT_1": "0110100110111000100100011011010100010001110000000111111000101100011110010001111010101011010111010100101101110111110111000001011100000100000100111000111110100000000110000000010001100100000001110110010001100010111000101100101011000101011101010001001100110001",
"INIT_2": "1010011101100111000001111100110010110110110110011011000000001110011111000100100010111000101101111011110000101110010100001111001101111101110001010000100110110101000011111011011110111111111000010100010101101010100111011010000100111100100110001101111110000011",
"INIT_3": "0010011101111011111101111001101010001100100000100101011100000010110101000101011000000001110000110110010011011000101010110001100110111110001111111110011001100011111010110101110010100101010000010101011110111011010101111011101101001101111111100001011110001111",
"INIT_4": "0010010011011001001010011000011010010111100011111111101100000001101111110000101101000101101010110011100011001001000000111010110110010110001011011000001111100100010101010010111101101111010101001001010011001101000100110011111011110101001110001010101101100011",
"INIT_5": "1100100111001000001010111010110100011010110011010001100100010100011110000100111000110011111011000111111110111010100001110001111110011000010001000010101001010100000111010010101011101101101011101000111101101001110000000000111000011001001010110100000101000011",
"INIT_6": "0110101010100000010010111101110101110010001100010101010101000101000010011010010111111110001001110001000010011100000110011110010000001100101111101111100101111100111000110111110111000111101110010100000111000111100111100000010110001000001101101101010110101101",
"INIT_7": "1111100111100111100001011010100110010100110000111101110100001011001001010110011111010010001010001100110110000000110101100101010000101011100110101100110100011111000100011101010111000101111100000101010011010101110000110010111010001100100010100110101101101100",
"INIT_8": "1011100010110000101011010101001110010011111110110111111000100011111100010011111100111101111110100111111000101011111000000111111111111101101101101001011111110110101011001110111011111101111100111100110000101011011000100110011010000001110010001111100111010101",
"INIT_9": "1101010011010100110010010111001111110110110011101101010110111110111011011000100001110001110011010001000110000001100011110010101001000110111100111000101110111101000111011111100111110101011110010011001100100011001111110000100100111110101101001101001100101110",
"INIT_A": "1100001111011101101001010011110011101000011000111000100011100000110011001100111110101111011100110110110111111110111100001110101001011111000001101001000101100101100101101001111111101001111100110100111101100001110001111111010010010011001110011100011000101010",
"INIT_B": "1110111011000110111101101000011110011001010000001011011101010011000001111111000000011011110001111001001100011000010101101001010001111001001101010101110010101000010000110011010111100101001101100010011110010101100110101011010101100101111011011111010111101000",
"INIT_C": "0111111010111110010010110111111011101110101110100000000010001010010001101111001010101010000001011001010100100001011001011110000110001111100001110110111011000101001101001100100010001100110111011001111001001010000100110100110000111001100110110001111000100010",
"INIT_D": "0010001011010000110001111111110001101011110100100001001011100100001110101110000101101101111101000010101000001001001011101110000110110111111001100111100010010000110111000101010101001010011000101111101111001110110010011010100100111001001111101010111111010111",
"INIT_E": "1000100100011011010000101011110111101000101101101110000000011011010111001110110100110000101000111111100111100110101010010100101100100010111111100001110111111101011101011110110100010010010010000000101101001110000110001100101000100011011101100000100111001100",
"INIT_F": "1100001011001011010111011100000101111101010001001111111100111110011000111111000011001101001111100010101100010011111001010001000010100110010000101010111010110111001000100010111110010110011001010101101000001011001111010000001000001011101101000110111011011000",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 110, 111, 112, 38, 113, 114, 115, 116, 117, 118, 119, 33, 120, 121, 122, 123 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.11.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011",
"INIT_1": "1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011",
"INIT_2": "1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101",
"INIT_3": "0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111",
"INIT_4": "1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111",
"INIT_5": "0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110",
"INIT_6": "0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110",
"INIT_7": "0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101",
"INIT_8": "0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010",
"INIT_9": "1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101",
"INIT_A": "0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000",
"INIT_B": "1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000",
"INIT_C": "0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010",
"INIT_D": "1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001",
"INIT_E": "0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011",
"INIT_F": "1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 124, 125, 126, 34, 127, 128, 129, 130, 131, 132, 133, 45, 134, 135, 136, 137 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.12.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100",
"INIT_1": "1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110",
"INIT_2": "0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010",
"INIT_3": "1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100",
"INIT_4": "1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000",
"INIT_5": "0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000",
"INIT_6": "1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001",
"INIT_7": "1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101",
"INIT_8": "0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101",
"INIT_9": "0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110",
"INIT_A": "0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000",
"INIT_B": "1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001",
"INIT_C": "1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101",
"INIT_D": "0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100",
"INIT_E": "0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100",
"INIT_F": "0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 138, 139, 140, 30, 141, 142, 143, 144, 145, 146, 147, 26, 148, 149, 150, 151 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.13.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011",
"INIT_1": "1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011",
"INIT_2": "0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110",
"INIT_3": "0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101",
"INIT_4": "0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110",
"INIT_5": "0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111",
"INIT_6": "0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000",
"INIT_7": "1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000",
"INIT_8": "0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100",
"INIT_9": "1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110",
"INIT_A": "1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010",
"INIT_B": "0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001",
"INIT_C": "0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110",
"INIT_D": "1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011",
"INIT_E": "1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101",
"INIT_F": "0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 152, 153, 154, 48, 155, 156, 157, 158, 159, 160, 161, 52, 162, 163, 164, 165 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.14.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001",
"INIT_1": "0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010",
"INIT_2": "0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111",
"INIT_3": "0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000",
"INIT_4": "1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101",
"INIT_5": "1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100",
"INIT_6": "0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000",
"INIT_7": "1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110",
"INIT_8": "1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100",
"INIT_9": "1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100",
"INIT_A": "0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000",
"INIT_B": "0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110",
"INIT_C": "0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100",
"INIT_D": "0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011",
"INIT_E": "1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101",
"INIT_F": "1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 166, 167, 168, 20, 169, 170, 171, 172, 173, 174, 175, 46, 176, 177, 178, 179 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.15.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011",
"INIT_1": "1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001",
"INIT_2": "1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110",
"INIT_3": "1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000",
"INIT_4": "1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001",
"INIT_5": "1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111",
"INIT_6": "1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101",
"INIT_7": "1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011",
"INIT_8": "0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100",
"INIT_9": "0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110",
"INIT_A": "1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010",
"INIT_B": "0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010",
"INIT_C": "1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101",
"INIT_D": "1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010",
"INIT_E": "0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011",
"INIT_F": "1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 180, 181, 182, 27, 183, 184, 185, 186, 187, 188, 189, 49, 190, 191, 192, 193 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.2.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111",
"INIT_1": "0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101",
"INIT_2": "1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011",
"INIT_3": "0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100",
"INIT_4": "0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110",
"INIT_5": "0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001",
"INIT_6": "1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100",
"INIT_7": "0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010",
"INIT_8": "1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111",
"INIT_9": "0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011",
"INIT_A": "0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001",
"INIT_B": "0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110",
"INIT_C": "0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111",
"INIT_D": "0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010",
"INIT_E": "1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000",
"INIT_F": "1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 194, 195, 196, 35, 197, 198, 199, 200, 201, 202, 203, 36, 204, 205, 206, 207 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.3.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010",
"INIT_1": "0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100",
"INIT_2": "1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000",
"INIT_3": "0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100",
"INIT_4": "0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101",
"INIT_5": "1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101",
"INIT_6": "1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100",
"INIT_7": "0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101",
"INIT_8": "1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110",
"INIT_9": "1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101",
"INIT_A": "1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001",
"INIT_B": "0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100",
"INIT_C": "1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110",
"INIT_D": "1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010",
"INIT_E": "1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101",
"INIT_F": "1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 208, 209, 210, 17, 211, 212, 213, 214, 215, 216, 217, 41, 218, 219, 220, 221 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.4.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101",
"INIT_1": "1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100",
"INIT_2": "1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100",
"INIT_3": "1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000",
"INIT_4": "0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100",
"INIT_5": "0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001",
"INIT_6": "1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010",
"INIT_7": "1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010",
"INIT_8": "1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011",
"INIT_9": "0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111",
"INIT_A": "0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101",
"INIT_B": "0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011",
"INIT_C": "0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110",
"INIT_D": "0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101",
"INIT_E": "1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110",
"INIT_F": "1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 222, 223, 224, 18, 225, 226, 227, 228, 229, 230, 231, 31, 232, 233, 234, 235 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.5.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011",
"INIT_1": "0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011",
"INIT_2": "1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010",
"INIT_3": "0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101",
"INIT_4": "0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101",
"INIT_5": "0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101",
"INIT_6": "1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111",
"INIT_7": "0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110",
"INIT_8": "1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010",
"INIT_9": "1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101",
"INIT_A": "0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110",
"INIT_B": "1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011",
"INIT_C": "0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011",
"INIT_D": "1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111",
"INIT_E": "1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100",
"INIT_F": "1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 236, 237, 238, 23, 239, 240, 241, 242, 243, 244, 245, 42, 246, 247, 248, 249 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.6.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010",
"INIT_1": "1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001",
"INIT_2": "0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111",
"INIT_3": "0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111",
"INIT_4": "1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010",
"INIT_5": "0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111",
"INIT_6": "1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101",
"INIT_7": "1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011",
"INIT_8": "0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100",
"INIT_9": "0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000",
"INIT_A": "0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100",
"INIT_B": "1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010",
"INIT_C": "1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011",
"INIT_D": "0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000",
"INIT_E": "0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001",
"INIT_F": "1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 250, 251, 252, 19, 253, 254, 255, 256, 257, 258, 259, 32, 260, 261, 262, 263 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.7.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101",
"INIT_1": "0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101",
"INIT_2": "1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100",
"INIT_3": "0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111",
"INIT_4": "1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111",
"INIT_5": "0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011",
"INIT_6": "0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001",
"INIT_7": "1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001",
"INIT_8": "0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111",
"INIT_9": "1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001",
"INIT_A": "0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111",
"INIT_B": "0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111",
"INIT_C": "1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101",
"INIT_D": "0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011",
"INIT_E": "0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010",
"INIT_F": "0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 264, 265, 266, 24, 267, 268, 269, 270, 271, 272, 273, 50, 274, 275, 276, 277 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.8.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100",
"INIT_1": "1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001",
"INIT_2": "0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110",
"INIT_3": "0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011",
"INIT_4": "1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000",
"INIT_5": "1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000",
"INIT_6": "0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010",
"INIT_7": "0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010",
"INIT_8": "1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111",
"INIT_9": "0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001",
"INIT_A": "0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001",
"INIT_B": "0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110",
"INIT_C": "0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111",
"INIT_D": "0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101",
"INIT_E": "0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001",
"INIT_F": "0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 278, 279, 280, 37, 281, 282, 283, 284, 285, 286, 287, 51, 288, 289, 290, 291 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
},
"mem.9.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111",
"INIT_1": "0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101",
"INIT_2": "1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010",
"INIT_3": "0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000",
"INIT_4": "0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111",
"INIT_5": "1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011",
"INIT_6": "0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001",
"INIT_7": "0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111",
"INIT_8": "0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110",
"INIT_9": "1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001",
"INIT_A": "1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100",
"INIT_B": "1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011",
"INIT_C": "0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001",
"INIT_D": "1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010",
"INIT_E": "0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011",
"INIT_F": "1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110",
"READ_MODE": 3,
"WRITE_MODE": 3
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"RCLK": [ 81 ],
"RCLKE": [ "1" ],
"RDATA": [ 292, 293, 294, 25, 295, 296, 297, 298, 299, 300, 301, 44, 302, 303, 304, 305 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ],
"WE": [ "1" ]
}
}
},
"netnames": {
"$0$mem2bits$\\mem$memtest.v:32$1[31:0]$35": {
"hide_name": 1,
"bits": [ 40, 43, 21, 22, 35, 36, 17, 41, 18, 31, 23, 42, 19, 32, 24, 50, 37, 51, 25, 44, 38, 33, 34, 45, 30, 26, 48, 52, 20, 46, 27, 49 ],
"attributes": {
"src": "memtest.v:29"
}
},
"$0\\led_r[0:0]": {
"hide_name": 1,
"bits": [ 13 ],
"attributes": {
"src": "memtest.v:29"
}
},
"$0\\memadr[10:0]": {
"hide_name": 1,
"bits": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ],
"attributes": {
"src": "memtest.v:29"
}
},
"$abc$408$n40": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
}
},
"$abc$408$n41_1": {
"hide_name": 1,
"bits": [ 14 ],
"attributes": {
}
},
"$abc$408$n42": {
"hide_name": 1,
"bits": [ 15 ],
"attributes": {
}
},
"$abc$408$n43_1": {
"hide_name": 1,
"bits": [ 16 ],
"attributes": {
}
},
"$abc$408$n44": {
"hide_name": 1,
"bits": [ 10 ],
"attributes": {
}
},
"$abc$408$n45_1": {
"hide_name": 1,
"bits": [ 28 ],
"attributes": {
}
},
"$abc$408$n46": {
"hide_name": 1,
"bits": [ 29 ],
"attributes": {
}
},
"$abc$408$n47_1": {
"hide_name": 1,
"bits": [ 11 ],
"attributes": {
}
},
"$abc$408$n48": {
"hide_name": 1,
"bits": [ 39 ],
"attributes": {
}
},
"$abc$408$n49_1": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
}
},
"$abc$408$n50": {
"hide_name": 1,
"bits": [ 47 ],
"attributes": {
}
},
"$auto$alumacc.cc:474:replace_alu$167.C": {
"hide_name": 1,
"bits": [ 306, 307, 57, 60, 63, 66, 69, 72, 75, 78, 55 ],
"attributes": {
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:43"
}
},
"$techmap191\\mem.0.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 82, 83, 84, 308, 85, 86, 87, 88, 89, 90, 91, 309, 92, 93, 94, 95 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap193\\mem.1.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 96, 97, 98, 310, 99, 100, 101, 102, 103, 104, 105, 311, 106, 107, 108, 109 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap195\\mem.2.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 194, 195, 196, 312, 197, 198, 199, 200, 201, 202, 203, 313, 204, 205, 206, 207 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap197\\mem.3.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 208, 209, 210, 314, 211, 212, 213, 214, 215, 216, 217, 315, 218, 219, 220, 221 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap199\\mem.4.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 222, 223, 224, 316, 225, 226, 227, 228, 229, 230, 231, 317, 232, 233, 234, 235 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap201\\mem.5.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 236, 237, 238, 318, 239, 240, 241, 242, 243, 244, 245, 319, 246, 247, 248, 249 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap203\\mem.6.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 250, 251, 252, 320, 253, 254, 255, 256, 257, 258, 259, 321, 260, 261, 262, 263 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap205\\mem.15.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 180, 181, 182, 322, 183, 184, 185, 186, 187, 188, 189, 323, 190, 191, 192, 193 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap207\\mem.14.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 166, 167, 168, 324, 169, 170, 171, 172, 173, 174, 175, 325, 176, 177, 178, 179 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap209\\mem.13.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 152, 153, 154, 326, 155, 156, 157, 158, 159, 160, 161, 327, 162, 163, 164, 165 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap211\\mem.12.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 138, 139, 140, 328, 141, 142, 143, 144, 145, 146, 147, 329, 148, 149, 150, 151 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap213\\mem.11.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 124, 125, 126, 330, 127, 128, 129, 130, 131, 132, 133, 331, 134, 135, 136, 137 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap215\\mem.10.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 110, 111, 112, 332, 113, 114, 115, 116, 117, 118, 119, 333, 120, 121, 122, 123 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap217\\mem.9.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 292, 293, 294, 334, 295, 296, 297, 298, 299, 300, 301, 335, 302, 303, 304, 305 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap219\\mem.8.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 278, 279, 280, 336, 281, 282, 283, 284, 285, 286, 287, 337, 288, 289, 290, 291 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap221\\mem.7.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 264, 265, 266, 338, 267, 268, 269, 270, 271, 272, 273, 339, 274, 275, 276, 277 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"clk": {
"hide_name": 0,
"bits": [ 81 ],
"attributes": {
"src": "memtest.v:23"
}
},
"clki": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "memtest.v:11"
}
},
"clkosc": {
"hide_name": 0,
"bits": [ 81 ],
"attributes": {
"src": "memtest.v:18"
}
},
"led_b": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:4"
}
},
"led_g": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:3"
}
},
"led_r": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "memtest.v:2"
}
},
"memadr": {
"hide_name": 0,
"bits": [ 7, 6, 58, 61, 64, 67, 70, 73, 76, 79, 54 ],
"attributes": {
"src": "memtest.v:15"
}
},
"pmod_1": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:5"
}
},
"pmod_2": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:6"
}
},
"pmod_3": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:7"
}
},
"pmod_4": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:8"
}
},
"user_5": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "memtest.v:9"
}
},
"user_6": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "memtest.v:10"
}
}
}
}
}
}