samerand/memtest/.build/memtest.json

6637 lines
199 KiB
JSON

{
"creator": "Yosys 0.8+ (git sha1 UNKNOWN, x86_64-w64-mingw32-g++ 7.3-posix -O3 -DNDEBUG)",
"modules": {
"ICESTORM_LC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:667"
},
"ports": {
"I0": {
"direction": "input",
"bits": [ 2 ]
},
"I1": {
"direction": "input",
"bits": [ 3 ]
},
"I2": {
"direction": "input",
"bits": [ 4 ]
},
"I3": {
"direction": "input",
"bits": [ 5 ]
},
"CIN": {
"direction": "input",
"bits": [ 6 ]
},
"CLK": {
"direction": "input",
"bits": [ 7 ]
},
"CEN": {
"direction": "input",
"bits": [ 8 ]
},
"SR": {
"direction": "input",
"bits": [ 9 ]
},
"LO": {
"direction": "output",
"bits": [ 10 ]
},
"O": {
"direction": "output",
"bits": [ 11 ]
},
"COUT": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CEN": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"CIN": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"COUT": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669"
}
},
"I0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"I1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"I2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"I3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
},
"LO": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669"
}
},
"O": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669"
}
},
"SR": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668"
}
}
}
},
"SB_CARRY": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
},
"ports": {
"CO": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"CI": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"CI": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
}
},
"CO": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
}
},
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129"
}
}
}
},
"SB_DFF": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135"
}
}
}
},
"SB_DFFE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140"
}
}
}
},
"SB_DFFER": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188"
}
}
}
},
"SB_DFFES": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206"
}
}
}
},
"SB_DFFESR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178"
}
}
}
},
"SB_DFFESS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196"
}
}
}
},
"SB_DFFN": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216"
}
}
}
},
"SB_DFFNE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221"
}
}
}
},
"SB_DFFNER": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269"
}
}
}
},
"SB_DFFNES": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287"
}
}
}
},
"SB_DFFNESR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259"
}
}
}
},
"SB_DFFNESS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277"
}
}
}
},
"SB_DFFNR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235"
}
}
}
},
"SB_DFFNS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251"
}
}
}
},
"SB_DFFNSR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227"
}
}
}
},
"SB_DFFNSS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243"
}
}
}
},
"SB_DFFR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154"
}
}
}
},
"SB_DFFS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170"
}
}
}
},
"SB_DFFSR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146"
}
}
}
},
"SB_DFFSS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162"
}
}
}
},
"SB_FILTER_50NS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1138"
},
"ports": {
"FILTERIN": {
"direction": "input",
"bits": [ 2 ]
},
"FILTEROUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"FILTERIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1139"
}
},
"FILTEROUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1140"
}
}
}
},
"SB_GB": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:112"
},
"ports": {
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"direction": "input",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:114"
}
},
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:113"
}
}
}
},
"SB_GB_IO": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:73"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 4 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 5 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 7 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 9 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 10 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 11 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:77"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:83"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:84"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:81"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:82"
}
},
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:75"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:78"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:76"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:79"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:80"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:74"
}
}
}
},
"SB_HFOSC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:981"
},
"ports": {
"CLKHFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKHFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKHF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKHF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:984"
}
},
"CLKHFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:983"
}
},
"CLKHFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:982"
}
}
}
},
"SB_I2C": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1015"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"SCLI": {
"direction": "input",
"bits": [ 21 ]
},
"SDAI": {
"direction": "input",
"bits": [ 22 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 23 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 24 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 30 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 31 ]
},
"I2CIRQ": {
"direction": "output",
"bits": [ 32 ]
},
"I2CWKUP": {
"direction": "output",
"bits": [ 33 ]
},
"SCLO": {
"direction": "output",
"bits": [ 34 ]
},
"SCLOE": {
"direction": "output",
"bits": [ 35 ]
},
"SDAO": {
"direction": "output",
"bits": [ 36 ]
},
"SDAOE": {
"direction": "output",
"bits": [ 37 ]
}
},
"cells": {
},
"netnames": {
"I2CIRQ": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1046"
}
},
"I2CWKUP": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1047"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1045"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1026"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1025"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1024"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1023"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1022"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1021"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1020"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1019"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1016"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1034"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1033"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1032"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1031"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1030"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1029"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1028"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1027"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1044"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1043"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1042"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1041"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1040"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1039"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1038"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1037"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1017"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1018"
}
},
"SCLI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1035"
}
},
"SCLO": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1048"
}
},
"SCLOE": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1049"
}
},
"SDAI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1036"
}
},
"SDAO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1050"
}
},
"SDAOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1051"
}
}
}
},
"SB_IO": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:7"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:10"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:16"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:17"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:14"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:15"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:11"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:9"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:12"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:13"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:8"
}
}
}
},
"SB_IO_I3C": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1144"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
},
"PU_ENB": {
"direction": "input",
"bits": [ 12 ]
},
"WEAK_PU_ENB": {
"direction": "input",
"bits": [ 13 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1147"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1153"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1154"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1151"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1152"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1148"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1146"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1149"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1150"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1145"
}
},
"PU_ENB": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1155"
}
},
"WEAK_PU_ENB": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1156"
}
}
}
},
"SB_IO_OD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1213"
},
"ports": {
"PACKAGEPIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCKENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUTCLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUTCLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUTENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"DOUT1": {
"direction": "input",
"bits": [ 8 ]
},
"DOUT0": {
"direction": "input",
"bits": [ 9 ]
},
"DIN1": {
"direction": "output",
"bits": [ 10 ]
},
"DIN0": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCKENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1216"
}
},
"DIN0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1223"
}
},
"DIN1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1222"
}
},
"DOUT0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1221"
}
},
"DOUT1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1220"
}
},
"INPUTCLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1217"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1215"
}
},
"OUTPUTCLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1218"
}
},
"OUTPUTENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1219"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1214"
}
}
}
},
"SB_LEDDA_IP": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1112"
},
"ports": {
"LEDDCS": {
"direction": "input",
"bits": [ 2 ]
},
"LEDDCLK": {
"direction": "input",
"bits": [ 3 ]
},
"LEDDDAT7": {
"direction": "input",
"bits": [ 4 ]
},
"LEDDDAT6": {
"direction": "input",
"bits": [ 5 ]
},
"LEDDDAT5": {
"direction": "input",
"bits": [ 6 ]
},
"LEDDDAT4": {
"direction": "input",
"bits": [ 7 ]
},
"LEDDDAT3": {
"direction": "input",
"bits": [ 8 ]
},
"LEDDDAT2": {
"direction": "input",
"bits": [ 9 ]
},
"LEDDDAT1": {
"direction": "input",
"bits": [ 10 ]
},
"LEDDDAT0": {
"direction": "input",
"bits": [ 11 ]
},
"LEDDADDR3": {
"direction": "input",
"bits": [ 12 ]
},
"LEDDADDR2": {
"direction": "input",
"bits": [ 13 ]
},
"LEDDADDR1": {
"direction": "input",
"bits": [ 14 ]
},
"LEDDADDR0": {
"direction": "input",
"bits": [ 15 ]
},
"LEDDDEN": {
"direction": "input",
"bits": [ 16 ]
},
"LEDDEXE": {
"direction": "input",
"bits": [ 17 ]
},
"LEDDRST": {
"direction": "input",
"bits": [ 18 ]
},
"PWMOUT0": {
"direction": "output",
"bits": [ 19 ]
},
"PWMOUT1": {
"direction": "output",
"bits": [ 20 ]
},
"PWMOUT2": {
"direction": "output",
"bits": [ 21 ]
},
"LEDDON": {
"direction": "output",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"LEDDADDR0": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1126"
}
},
"LEDDADDR1": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1125"
}
},
"LEDDADDR2": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1124"
}
},
"LEDDADDR3": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1123"
}
},
"LEDDCLK": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1114"
}
},
"LEDDCS": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1113"
}
},
"LEDDDAT0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1122"
}
},
"LEDDDAT1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1121"
}
},
"LEDDDAT2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1120"
}
},
"LEDDDAT3": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1119"
}
},
"LEDDDAT4": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1118"
}
},
"LEDDDAT5": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1117"
}
},
"LEDDDAT6": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1116"
}
},
"LEDDDAT7": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1115"
}
},
"LEDDDEN": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1127"
}
},
"LEDDEXE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1128"
}
},
"LEDDON": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1133"
}
},
"LEDDRST": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1129"
}
},
"PWMOUT0": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1130"
}
},
"PWMOUT1": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1131"
}
},
"PWMOUT2": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1132"
}
}
}
},
"SB_LFOSC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:990"
},
"ports": {
"CLKLFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKLFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKLF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKLF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:993"
}
},
"CLKLFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:992"
}
},
"CLKLFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:991"
}
}
}
},
"SB_LUT4": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
},
"ports": {
"O": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"I2": {
"direction": "input",
"bits": [ 5 ]
},
"I3": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
},
"I2": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
},
"I3": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
},
"O": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121"
}
}
}
},
"SB_MAC16": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:891"
},
"ports": {
"CLK": {
"direction": "input",
"bits": [ 2 ]
},
"CE": {
"direction": "input",
"bits": [ 3 ]
},
"C": {
"direction": "input",
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
},
"A": {
"direction": "input",
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
},
"B": {
"direction": "input",
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
},
"D": {
"direction": "input",
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
},
"AHOLD": {
"direction": "input",
"bits": [ 68 ]
},
"BHOLD": {
"direction": "input",
"bits": [ 69 ]
},
"CHOLD": {
"direction": "input",
"bits": [ 70 ]
},
"DHOLD": {
"direction": "input",
"bits": [ 71 ]
},
"IRSTTOP": {
"direction": "input",
"bits": [ 72 ]
},
"IRSTBOT": {
"direction": "input",
"bits": [ 73 ]
},
"ORSTTOP": {
"direction": "input",
"bits": [ 74 ]
},
"ORSTBOT": {
"direction": "input",
"bits": [ 75 ]
},
"OLOADTOP": {
"direction": "input",
"bits": [ 76 ]
},
"OLOADBOT": {
"direction": "input",
"bits": [ 77 ]
},
"ADDSUBTOP": {
"direction": "input",
"bits": [ 78 ]
},
"ADDSUBBOT": {
"direction": "input",
"bits": [ 79 ]
},
"OHOLDTOP": {
"direction": "input",
"bits": [ 80 ]
},
"OHOLDBOT": {
"direction": "input",
"bits": [ 81 ]
},
"CI": {
"direction": "input",
"bits": [ 82 ]
},
"ACCUMCI": {
"direction": "input",
"bits": [ 83 ]
},
"SIGNEXTIN": {
"direction": "input",
"bits": [ 84 ]
},
"O": {
"direction": "output",
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
},
"CO": {
"direction": "output",
"bits": [ 117 ]
},
"ACCUMCO": {
"direction": "output",
"bits": [ 118 ]
},
"SIGNEXTOUT": {
"direction": "output",
"bits": [ 119 ]
}
},
"cells": {
},
"netnames": {
"A": {
"hide_name": 0,
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:895"
}
},
"ACCUMCI": {
"hide_name": 0,
"bits": [ 83 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:913"
}
},
"ACCUMCO": {
"hide_name": 0,
"bits": [ 118 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:917"
}
},
"ADDSUBBOT": {
"hide_name": 0,
"bits": [ 79 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:909"
}
},
"ADDSUBTOP": {
"hide_name": 0,
"bits": [ 78 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:908"
}
},
"AHOLD": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:898"
}
},
"B": {
"hide_name": 0,
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:896"
}
},
"BHOLD": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:899"
}
},
"C": {
"hide_name": 0,
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:894"
}
},
"CE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:893"
}
},
"CHOLD": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:900"
}
},
"CI": {
"hide_name": 0,
"bits": [ 82 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:912"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:892"
}
},
"CO": {
"hide_name": 0,
"bits": [ 117 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:916"
}
},
"D": {
"hide_name": 0,
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:897"
}
},
"DHOLD": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:901"
}
},
"IRSTBOT": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:903"
}
},
"IRSTTOP": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:902"
}
},
"O": {
"hide_name": 0,
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:915"
}
},
"OHOLDBOT": {
"hide_name": 0,
"bits": [ 81 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:911"
}
},
"OHOLDTOP": {
"hide_name": 0,
"bits": [ 80 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:910"
}
},
"OLOADBOT": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:907"
}
},
"OLOADTOP": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:906"
}
},
"ORSTBOT": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:905"
}
},
"ORSTTOP": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:904"
}
},
"SIGNEXTIN": {
"hide_name": 0,
"bits": [ 84 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:914"
}
},
"SIGNEXTOUT": {
"hide_name": 0,
"bits": [ 119 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:918"
}
}
}
},
"SB_PLL40_2F_CORE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:810"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:819"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:817"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:816"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:821"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:818"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:812"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:814"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:813"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:815"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:811"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:820"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:824"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:823"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:822"
}
}
}
},
"SB_PLL40_2F_PAD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:845"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:854"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:852"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:851"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:856"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:853"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:846"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:847"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:849"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:848"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:850"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:855"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:859"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:858"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:857"
}
}
}
},
"SB_PLL40_2_PAD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:776"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:785"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:783"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:782"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:787"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:784"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:777"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:778"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:780"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:779"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:781"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:786"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:790"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:789"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:788"
}
}
}
},
"SB_PLL40_CORE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:714"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:721"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:719"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:718"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:723"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:720"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:716"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:717"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:715"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:722"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:726"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:725"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:724"
}
}
}
},
"SB_PLL40_PAD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:745"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:752"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:750"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:749"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:754"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:751"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:746"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:747"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:748"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:753"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:757"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:756"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:755"
}
}
}
},
"SB_RAM40_4K": {
"attributes": {
"blackbox": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:297"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:300"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:298"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:302"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301"
}
}
}
},
"SB_RAM40_4KNR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:479"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:482"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:480"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:484"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483"
}
}
}
},
"SB_RAM40_4KNRNW": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:603"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:606"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:604"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:608"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607"
}
}
}
},
"SB_RAM40_4KNW": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:541"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:544"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:542"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:546"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545"
}
}
}
},
"SB_RGBA_DRV": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:998"
},
"ports": {
"CURREN": {
"direction": "input",
"bits": [ 2 ]
},
"RGBLEDEN": {
"direction": "input",
"bits": [ 3 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"CURREN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:999"
}
},
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1004"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1001"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1005"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1002"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1006"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1003"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1000"
}
}
}
},
"SB_SPI": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1058"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"MI": {
"direction": "input",
"bits": [ 21 ]
},
"SI": {
"direction": "input",
"bits": [ 22 ]
},
"SCKI": {
"direction": "input",
"bits": [ 23 ]
},
"SCSNI": {
"direction": "input",
"bits": [ 24 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 30 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 31 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 32 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 33 ]
},
"SPIIRQ": {
"direction": "output",
"bits": [ 34 ]
},
"SPIWKUP": {
"direction": "output",
"bits": [ 35 ]
},
"SO": {
"direction": "output",
"bits": [ 36 ]
},
"SOE": {
"direction": "output",
"bits": [ 37 ]
},
"MO": {
"direction": "output",
"bits": [ 38 ]
},
"MOE": {
"direction": "output",
"bits": [ 39 ]
},
"SCKO": {
"direction": "output",
"bits": [ 40 ]
},
"SCKOE": {
"direction": "output",
"bits": [ 41 ]
},
"MCSNO3": {
"direction": "output",
"bits": [ 42 ]
},
"MCSNO2": {
"direction": "output",
"bits": [ 43 ]
},
"MCSNO1": {
"direction": "output",
"bits": [ 44 ]
},
"MCSNO0": {
"direction": "output",
"bits": [ 45 ]
},
"MCSNOE3": {
"direction": "output",
"bits": [ 46 ]
},
"MCSNOE2": {
"direction": "output",
"bits": [ 47 ]
},
"MCSNOE1": {
"direction": "output",
"bits": [ 48 ]
},
"MCSNOE0": {
"direction": "output",
"bits": [ 49 ]
}
},
"cells": {
},
"netnames": {
"MCSNO0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1102"
}
},
"MCSNO1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1101"
}
},
"MCSNO2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1100"
}
},
"MCSNO3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1099"
}
},
"MCSNOE0": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1106"
}
},
"MCSNOE1": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1105"
}
},
"MCSNOE2": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1104"
}
},
"MCSNOE3": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1103"
}
},
"MI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1078"
}
},
"MO": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1095"
}
},
"MOE": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1096"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1090"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1069"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1068"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1067"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1066"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1065"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1064"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1063"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1062"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1059"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1077"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1076"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1075"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1074"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1073"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1072"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1071"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1070"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1089"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1088"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1087"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1086"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1085"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1084"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1083"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1082"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1060"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1061"
}
},
"SCKI": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1080"
}
},
"SCKO": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1097"
}
},
"SCKOE": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1098"
}
},
"SCSNI": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1081"
}
},
"SI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1079"
}
},
"SO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1093"
}
},
"SOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1094"
}
},
"SPIIRQ": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1091"
}
},
"SPIWKUP": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1092"
}
}
}
},
"SB_SPRAM256KA": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:942"
},
"ports": {
"ADDRESS": {
"direction": "input",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"DATAIN": {
"direction": "input",
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"MASKWREN": {
"direction": "input",
"bits": [ 32, 33, 34, 35 ]
},
"WREN": {
"direction": "input",
"bits": [ 36 ]
},
"CHIPSELECT": {
"direction": "input",
"bits": [ 37 ]
},
"CLOCK": {
"direction": "input",
"bits": [ 38 ]
},
"STANDBY": {
"direction": "input",
"bits": [ 39 ]
},
"SLEEP": {
"direction": "input",
"bits": [ 40 ]
},
"POWEROFF": {
"direction": "input",
"bits": [ 41 ]
},
"DATAOUT": {
"direction": "output",
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
}
},
"cells": {
},
"netnames": {
"ADDRESS": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:943"
}
},
"CHIPSELECT": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"CLOCK": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"DATAIN": {
"hide_name": 0,
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:944"
}
},
"DATAOUT": {
"hide_name": 0,
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:947"
}
},
"MASKWREN": {
"hide_name": 0,
"bits": [ 32, 33, 34, 35 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:945"
}
},
"POWEROFF": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"SLEEP": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"STANDBY": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
},
"WREN": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946"
}
}
}
},
"SB_WARMBOOT": {
"attributes": {
"blackbox": 1,
"keep": 1,
"cells_not_processed": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:882"
},
"ports": {
"BOOT": {
"direction": "input",
"bits": [ 2 ]
},
"S1": {
"direction": "input",
"bits": [ 3 ]
},
"S0": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"BOOT": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:883"
}
},
"S0": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:885"
}
},
"S1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:884"
}
}
}
},
"memtest": {
"attributes": {
"top": 1,
"src": "memtest.v:1"
},
"ports": {
"led_r": {
"direction": "output",
"bits": [ 2 ]
},
"led_g": {
"direction": "output",
"bits": [ "x" ]
},
"led_b": {
"direction": "output",
"bits": [ "x" ]
},
"pmod_1": {
"direction": "output",
"bits": [ "x" ]
},
"pmod_2": {
"direction": "output",
"bits": [ "x" ]
},
"pmod_3": {
"direction": "output",
"bits": [ "x" ]
},
"pmod_4": {
"direction": "output",
"bits": [ "x" ]
},
"user_5": {
"direction": "input",
"bits": [ 3 ]
},
"user_6": {
"direction": "input",
"bits": [ 4 ]
},
"clki": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$abc$358$auto$blifparse.cc:492:parse_blif$359": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 6
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 6 ],
"I1": [ 7 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 8 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$360": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 9 ],
"I1": [ 10 ],
"I2": [ 11 ],
"I3": [ 12 ],
"O": [ 13 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$361": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 150
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 14 ],
"I1": [ 15 ],
"I2": [ 16 ],
"I3": [ "0" ],
"O": [ 9 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$362": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 38505
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 17 ],
"I1": [ 18 ],
"I2": [ 19 ],
"I3": [ 20 ],
"O": [ 14 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$363": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 150
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 21 ],
"I1": [ 22 ],
"I2": [ 23 ],
"I3": [ "0" ],
"O": [ 15 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$364": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 24 ],
"I1": [ 25 ],
"I2": [ 26 ],
"I3": [ 27 ],
"O": [ 16 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$365": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 105
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 28 ],
"I1": [ 29 ],
"I2": [ 30 ],
"I3": [ "0" ],
"O": [ 10 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$366": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 31 ],
"I1": [ 32 ],
"I2": [ 33 ],
"I3": [ 34 ],
"O": [ 28 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$367": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 38505
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 35 ],
"I1": [ 36 ],
"I2": [ 37 ],
"I3": [ 38 ],
"O": [ 29 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$368": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 39 ],
"I1": [ 40 ],
"I2": [ 41 ],
"I3": [ 42 ],
"O": [ 11 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$369": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 38505
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 43 ],
"I1": [ 44 ],
"I2": [ 45 ],
"I3": [ 46 ],
"O": [ 39 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$370": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 150
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 47 ],
"I1": [ 48 ],
"I2": [ 49 ],
"I3": [ "0" ],
"O": [ 12 ]
}
},
"$abc$358$auto$blifparse.cc:492:parse_blif$371": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 150
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 50 ],
"I1": [ 51 ],
"I2": [ 52 ],
"I3": [ "0" ],
"O": [ 47 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[0].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "1" ],
"I2": [ 7 ],
"I3": [ "0" ],
"O": [ 53 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[1].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 7 ],
"CO": [ 54 ],
"I0": [ "0" ],
"I1": [ 6 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[2].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 55 ],
"I3": [ 54 ],
"O": [ 56 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[2].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 54 ],
"CO": [ 57 ],
"I0": [ "0" ],
"I1": [ 55 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[3].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 58 ],
"I3": [ 57 ],
"O": [ 59 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[3].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 57 ],
"CO": [ 60 ],
"I0": [ "0" ],
"I1": [ 58 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[4].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 61 ],
"I3": [ 60 ],
"O": [ 62 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[4].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 60 ],
"CO": [ 63 ],
"I0": [ "0" ],
"I1": [ 61 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[5].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 64 ],
"I3": [ 63 ],
"O": [ 65 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[5].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 63 ],
"CO": [ 66 ],
"I0": [ "0" ],
"I1": [ 64 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[6].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 67 ],
"I3": [ 66 ],
"O": [ 68 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[6].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 66 ],
"CO": [ 69 ],
"I0": [ "0" ],
"I1": [ 67 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[7].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 70 ],
"I3": [ 69 ],
"O": [ 71 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[7].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 69 ],
"CO": [ 72 ],
"I0": [ "0" ],
"I1": [ 70 ]
}
},
"$auto$alumacc.cc:474:replace_alu$167.slice[8].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 73 ],
"I3": [ 72 ],
"O": [ 74 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$271": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 13 ],
"Q": [ 2 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$272": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 53 ],
"Q": [ 7 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$273": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 8 ],
"Q": [ 6 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$274": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 56 ],
"Q": [ 55 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$275": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 59 ],
"Q": [ 58 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$276": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 62 ],
"Q": [ 61 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$277": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 65 ],
"Q": [ 64 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$278": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 68 ],
"Q": [ 67 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$279": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 71 ],
"Q": [ 70 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$280": {
"hide_name": 1,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 75 ],
"D": [ 74 ],
"Q": [ 73 ]
}
},
"clk_gb": {
"hide_name": 0,
"type": "SB_GB",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "memtest.v:19"
},
"port_directions": {
"GLOBAL_BUFFER_OUTPUT": "output",
"USER_SIGNAL_TO_GLOBAL_BUFFER": "input"
},
"connections": {
"GLOBAL_BUFFER_OUTPUT": [ 75 ],
"USER_SIGNAL_TO_GLOBAL_BUFFER": [ 5 ]
}
},
"mem.0.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1101110000010001001101000000010110101111010110110100001001111100010111001110010010011010000100111001010101100100001110001100101110111000100001000000101101011110000100101101100111101000111110000011001010001010010000101100011100001100110010101010101101011111",
"INIT_1": "1011111110001010000111100110000000001000010010001100111100001110001001110100110111111011111010101100001001100000011100011100000001110000111111111011111000000111010110001111111100010001110000111000011000100001111111011000011110001011011100110100010101101001",
"INIT_2": "0111000001110101101001111010011110011100110011001001011011101110110000100101110000011000100010000001100110110111100000111001100101011101101101010011101110110110100101001111011000100110001101010010010011111101111110011111101000000001010111111100001111111000",
"INIT_3": "1111001110000110101011010100110101110000000000010011101101000110100110001001110111001110110000110011000010010110110011110101111101101101001000011010110111111110000010001100101000011110110101000010101010101100010111000010110001000111111010111000110001100000",
"INIT_4": "0011100110110110011001110000001010111011111110010001010011111101101111101001100101110011111100111011011100101000110011010111110010110001100100001001101010101100000010000100010000100011011111100000000110111110111001001110110010000101001011110101111001101000",
"INIT_5": "0110010100101111100001111110100011111001000101111010101010011001111001001001101010011110110111001100000110011101111000001111111011011001100011101110011011011000000011111010101100111010000010101100111010010011000101100100110010011110011000001101100100001110",
"INIT_6": "0101100011100010000001101001100000110011111011010101001000101100100101010010001010110010111011010010101101110001110101101111110000101000100101011000100011110111010111011110011001011111101101111011001011111001010000011111010110010100011000001000010010110101",
"INIT_7": "0110001001101111100101011100001100001000110010101101011100011110001100000110011111111011011011001001010010111101111110011110010011010111111110001111101001110111111101000111011101000111010011010010001001101001010010101011000010101001011010100001011011000111",
"INIT_8": "0010000101011101001000101110110101111101000000110100111111110000011100110100101011001101001100000101110100101111100001110110010010010100101110101001011100001100101100110110010011001100001101110011100101110011110110000010001110001001101011101010101110100101",
"INIT_9": "1010100110101111101110010011111101001001110101110100001101100101111101010110111011000001001001100000100111000101111100011101001111011010000111011110110001111010000011101100101101101011110100000110110111101011000011111011011111101000100110001001011111001011",
"INIT_A": "0111101110010010100001001111010110000001100000001010101101010111111110010011110001000001001101110010111010011011110110010100000010110011010010010110100111100110101111011011111011100111100001010110100100110111001110000011001001100101000100101101000110010110",
"INIT_B": "0000111011010101011010001011010110010001100010000111100000101100011001100100010110011000000001110000110111100001100111001000101011100011101101101100101000001101100101000010011110000011000011110000001011100100110100101001001000000100010101010100001000001110",
"INIT_C": "1010111111100110110011010100010011100110101100100001010010101101101110111000000000101010110101001100011010001100010110010000111110111000010010110011110011110100001110000110110001001100001111000110011000010011001000010110110010011001101100100110101111010101",
"INIT_D": "0011001100101011011100110100010010100111001011000110010000110000101100000101111001100111110000101001010111101011110000100001000010000110000100001010101110111111101001111110110000001000110011100101010100010011000001000011101110111000010110011010011010111111",
"INIT_E": "0100001000110100000000011001000011111000111011001101100010100111110000111011000101010110111110011010011001000100101010001010010001010011011100011000100111011000100110000000010111000101100010000110001000010110111000001011001010111111011111101001110001110011",
"INIT_F": "0000101011000111111101011000100001111110101000111110000110010101010110011100011111000110010011011100101111010000110011000011010010110000110110100100110011001010011111011001101010001001000010110110010011011011100101100101111110010101111111001011001011010100",
"READ_MODE": 1,
"WRITE_MODE": 1
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ],
"RCLK": [ 75 ],
"RCLKE": [ "1" ],
"RDATA": [ 40, 76, 43, 77, 21, 78, 22, 79, 35, 80, 36, 81, 17, 82, 41, 83 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ],
"WE": [ "1" ]
}
},
"mem.1.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1100001001001000011000110111111010111101110001100000010100101100101101011000100101110111101011011100100000001010001110111001110011101010001001000100100110110111100001000010011100110001100000011001001000001001000010011011000000001000100111001101001011111001",
"INIT_1": "0000000100101011100000010111111101010011111100001110111010111001101010000011101110110111101110100101100000111010100111001011111101110101100011111011010000010100111101101100011000010111110110001100110111001111000110000000001010001101001000001001110111111100",
"INIT_2": "1001110001011011011011010111100010011001101001100101010100111100011111110111001101100010010000100000111101010001111111011011011100111001111111010111010111000111100011001110110111000010010111110110110001000011010110011111101000110001001000110100011111101100",
"INIT_3": "0001100101000011010111101110010100101001000010100100111110010101010110111011010011111011001100000101010110101001010000111110010000011011011100000011010011101000001101100101111000000101111110101011010110001010100110001000011101010100010101100011001111011000",
"INIT_4": "1011110110001001101111101010101010000101101010100100111010111000110000101000101000100110010111100010101111111101100001110110111101000101010111101111100111111100000101000000001001110010111110010111010001000110000110010110000010001000111001010011111011010100",
"INIT_5": "1001110110111101111001100000000111100111101100000011000011111100000111001001110100001011001000111111110111011001100101001100111100010111110000111111101110000000111011100101101110000101101011111100011011101110110111101111011001101100011101100011011101011001",
"INIT_6": "0000011110110101011111011011101000101010111001000010011011000010110101100011111000010111010010101011100111010101101001110101110011111111011111111101000100111110000000000111011111100001010001110101001100110110000100010000100111110000101100101101000110111010",
"INIT_7": "0111100111100100110111101001010100110000000010101001110100110101001010100001000010010000100101100111000010100101111110000110001110011001101111000001100011111110001100100000110100100011110110001001001111011101010010111001011110110100100110000001001101100110",
"INIT_8": "0011110011001001010000010000010001001110011101110101111100110101001101110001001101001001111110001010001001000011001101101100100100111000111010110111110001110001000011000000001101111100001001111101101011100010010000101100100000100100101110000111000000100011",
"INIT_9": "0111110001010001101111110100111001010111111010010111010010100001000011000001000011100100101001000111001000100010001111111111101111011000110001011110000010010000000000000010001101011111011010001000011111100111101010111101000001010001001110011101000010010011",
"INIT_A": "1001100011110100001110111111100011011110001110110101100100101000011001011100110010011001110100110111110101111110110110100110001101100100010100111110001010100111111100100011110011111010110001111100110001011111001111010100101010111011001010001011100000101101",
"INIT_B": "1001100011100000011111110101100110000000001111101011101100010000111010000011110111010011001111100101001001010001010111001010100001001101101010100010001100011001001011100010000011100010100101000010011110000101100010000001100110111010110000011011111010111111",
"INIT_C": "0100100101100111001101000110000110011011100001111010000000001101010011010000101111000011010111011011010101111001011001111101000010010011101110101010110110010110100111111100011101010011111010001000001100001110011101011100010111010011110001101001001110111110",
"INIT_D": "1000111000110011001001111001111111110110010000110101000101011000110010101111110001111010101111010111100000011010000101101000101000010101110010111011001000101011101101100110011111010011111001011111011010011100000101011101100100110001001000001111100010110101",
"INIT_E": "1001011101110011100001011100011110000110110110100011011100110100110010001111101010101011010010101010011000110001010101110011000111000100010111011110100100001100110000011001010001000001110011100101100101100001101000010001111101001000111100000010110111001010",
"INIT_F": "1100100101011111001010000010111000001010010010100010101100100111110011111000001111100111111000100000111111111101010110001001001110111001000100111110001001101110011101010101001011011001110111111010111000011011100011110001000001111101001111001000110111110011",
"READ_MODE": 1,
"WRITE_MODE": 1
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ],
"RCLK": [ 75 ],
"RCLKE": [ "1" ],
"RDATA": [ 18, 84, 31, 85, 23, 86, 42, 87, 19, 88, 32, 89, 24, 90, 50, 91 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ],
"WE": [ "1" ]
}
},
"mem.2.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "0001010101010000111110010010001111000101101001000011000011000101110100111110000110100100010001011111111111101011000000010101110001000011101011011010110011000111110100111001010011010101101101011000110111010010001011110001111101001101011101010111011111111000",
"INIT_1": "1110010001100111110101010101000010010100000100101100100011100110000001101001000100001101110100100100111110100000101100111111010011000011110111100111110011111000100100000101001111100011110101010000001010000000001010100001110011100101011111010111110111011101",
"INIT_2": "0001111111100101111011000010010010001001000111111101001011010000010100001001001110110011000000001001001000111010011100110011010010010101001101101100010110100011101111111101000100111101010101011011011001000000100101011111101001100000000100111001111101100010",
"INIT_3": "0010111100110110100011101101100100100010101000001101111000000001000000101000110110010111001100010101000000010111011011011001100010101011001111001100101110101001111011001000000010100101110000000110111111101010111011111110001010110110101100101111111111001111",
"INIT_4": "0111000101010110111001101001111000111111110000000011110100110001000111110111100011010111111101000111000111000001111011011000011111111001001101110011110000001011110101111011000101011100001101100111000101010101001011101101101101000100101110011111111100110100",
"INIT_5": "0101010010011101011011010101000011111001010010110101010001010010111100100010110001101100110000011001111001010010111011111011111011100000010101101100100011100001010101100110000101000110110111010000110110111110101000100101010010000111111010000010011110111100",
"INIT_6": "0100100000010100111111010011001010001001011110000110010100111110110001011111110011111011000001100000000011001110010101000101111111010010010101111010010011011011110011011001001110001101000010000010011111000010111010011000010111100010110001111110010101010110",
"INIT_7": "1111011110010001000101011010010100010011010101110100011111101101100101110110011000111000101100011100010001100110100110000101000100111110011011000111011101010101101101010101001100110100000101000111000101111010000111100010110010000010000011100001110011111110",
"INIT_8": "0011000001001111001001110001101011101111000011000111101100100000000101111100011000100110101111001101101101001011110100110111000111110110110010111001111000110101001100100000110100010111001111000010001110110111100110101011000000000100110100011110010100100011",
"INIT_9": "1011000011100011110101110100010111101010110101010111011011000101110001000100100010010101111001000100010101011000111111101111111000101011001000111101110110011111111001010100111101010101011100100110111101001001101011011011111011111000100011110001111011010001",
"INIT_A": "0010110111111100000001000001110100010011011100101000000010100001101000110010100000011111100010000110011010101001100000100110100110011110010010010011010100101001100010111001010000100111001000110111110111001100000111001101010000001101000001110000101011000101",
"INIT_B": "0010101010001000110110110100000011000100100100111010111100110001100111001010111000001111000110011101110000101100010110001011111110110101100111111101000000000100010011011101100001000110111111100011110101100100010010011110001101110101001111011000010011110110",
"INIT_C": "0110101011001010100011101000110011111010011100110011001010001100100110101011101011001001110110110011010100001000101001011010101100111111001000011000100100011101110000000110001010010001011100100101101000100100010111001111100000110111011111100010101010010011",
"INIT_D": "0000100011101110101011001000000110101110111111011010100001010000100110011011101011110100100011101011100111000011101110010000010110011110101010000010000011000000111100010100001100001010000110110110111011000011100101010101001011010110011110000001111101100001",
"INIT_E": "1000011111001010101110010110011111100010001100011111001111001100000000010101011100100011101110111111011011101101100001111011000010011010011110011110010100000001010101011101011010001000101100111110111000000000010100100100000000001110110111011011010000110101",
"INIT_F": "1111101111111000100101010101100001010100110101111000111011111101110011001100110111100110001100010001111101111001011101000100000010011010011010000100101101110010000110111101010100101001001000000111101110000011010101101000100010101100010110111010100000101010",
"READ_MODE": 1,
"WRITE_MODE": 1
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ],
"RCLK": [ 75 ],
"RCLKE": [ "1" ],
"RDATA": [ 37, 92, 51, 93, 25, 94, 44, 95, 38, 96, 33, 97, 34, 98, 45, 99 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ],
"WE": [ "1" ]
}
},
"mem.3.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "1100000110010100101101110101000001101101011000011010000011100101000110011010110100001011111001000101110111110110010101101110000001000100101001011101100010011010111111001100001110111011110110011010000110110001101010010000110101000100101111010011000100111100",
"INIT_1": "0110110010110111011011110001110010110001010000000001001101110000000110101001011111011010111100101010011110000011001110101110100110001110011010100010010000010011101101100001001000011101110111101000011110101110011010000101000101010011111101111101111010111010",
"INIT_2": "0010000000000011110111011000101100011100010101110110101001110001111010011101010010101101000011100000000000100100110101101001100000110110101110001110001010000101100100010010001010010100011011101010101001111000011100001010010110010110010000010110101111100010",
"INIT_3": "1000001100000111101100011110101110110111111010001001010100001000000110100100111001100101100000010110011101100011100101101010001101001010000111011101000011100100000110110011101110001000110011000010011100101111001001110011011110011001001111110100010011010000",
"INIT_4": "1100101100101011101011010000110100001010110001100000111110000010011111000001100111111111111111001100001101011111000111111110000111000011100100011001110101010001011101100111000000001011011110100111111101111011001010001010011000010010010001100001000110101100",
"INIT_5": "1111111001000010010100000110101101011011100000000101011111001001010101110001001001011010101011001100101011001110101111001001100010101011100001001100011010000000111111110111000001000001001011010110100010010100101110110001100110001000000010001011000011110000",
"INIT_6": "1011110100111011101100000000000101010010010001010001100011010101001101010011100111011011111110010000110100010001111111100101101010000101110111010010111100000100101001101101111100010011000010110000001101101011111001011111010010000011010001111101010000001001",
"INIT_7": "1101011000101101011010110110010000001111001110000101110100000001110101111000101100111101000100011110001101100111010010110111100111110011110011101110011000110001000011100101111010110111101010101111001101000010101111110100100000100110010011110111111001000001",
"INIT_8": "0111000010000101010010001110111011101101111011001100110111100110101010100011110010101110111101010110100001000110000010100001000011011000100110010111101100001001110010011101101000100000001111010001000111000001111111000101000011001110110110100100000000001001",
"INIT_9": "1011011111001001010100010011111110100010001101010000100011000011110011001000110111010100000001101101001000001001010010010011000100001110000100010011011011011111000010111000010110100110111000101110001110100100100000010111010011000001011011111110110011100110",
"INIT_A": "1001011101100011110010100010010001001101011011110001001100110001010101001100101000000001100110110001111101001100010011001000011010101110000001000100000111000101000010001000111110001010010010100101111011101000010111101110010101000000011111001010010011100100",
"INIT_B": "1110001010100011011100100101100010010010010111011111110110000001101100110101001001100101000110100000010010100001100111010100111110001011001100111100001010100000101101100101100001010000100101001110000010010010011001001001000111111011111100001010111001011101",
"INIT_C": "1100000101000010011110110010010111110011010010100110001001110001011110111111010101010101000110111010100100001110100000000010101101011100110011001010101111100010101011001100000000101010100111101000011101010011110110110010100100101011010111111001100001100101",
"INIT_D": "0010011000100001111011010011110000000111001011111000000010011001001000010111101001111000000001101101010011101001111011001011101101101111111010110100011000001101010110000000010011011001100111101001110011010011101100101010111100011101011010101010001110110000",
"INIT_E": "1101000110010110100111110101000110100111110000101001110001101110100110000001110000110101101000001001110101100100000110100100110011110101111000011101000111011100101101001001010111111000011010011010011101111001000101110000011100001101011010010011110111010000",
"INIT_F": "1001101111011100110111000111111010000110011101000011101110100001101101111000110100011100101000001001000110000100001110000011010111111101100010001010001010010101011101011001010110000001001101111010010001111010000001101000111000011110010111111001110111110110",
"READ_MODE": 1,
"WRITE_MODE": 1
},
"attributes": {
"module_not_derived": 1,
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ],
"RCLK": [ 75 ],
"RCLKE": [ "1" ],
"RDATA": [ 30, 100, 26, 101, 48, 102, 52, 103, 20, 104, 46, 105, 27, 106, 49, 107 ],
"RE": [ "1" ],
"WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"WCLK": [ "0" ],
"WCLKE": [ "0" ],
"WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ],
"WE": [ "1" ]
}
}
},
"netnames": {
"$0$mem2bits$\\mem$memtest.v:32$1[31:0]$35": {
"hide_name": 1,
"bits": [ 40, 43, 21, 22, 35, 36, 17, 41, 18, 31, 23, 42, 19, 32, 24, 50, 37, 51, 25, 44, 38, 33, 34, 45, 30, 26, 48, 52, 20, 46, 27, 49 ],
"attributes": {
"src": "memtest.v:29"
}
},
"$0\\led_r[0:0]": {
"hide_name": 1,
"bits": [ 13 ],
"attributes": {
"src": "memtest.v:29"
}
},
"$0\\memadr[10:0]": {
"hide_name": 1,
"bits": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, 108, 109 ],
"attributes": {
"src": "memtest.v:29"
}
},
"$abc$358$n40": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
}
},
"$abc$358$n41_1": {
"hide_name": 1,
"bits": [ 14 ],
"attributes": {
}
},
"$abc$358$n42": {
"hide_name": 1,
"bits": [ 15 ],
"attributes": {
}
},
"$abc$358$n43_1": {
"hide_name": 1,
"bits": [ 16 ],
"attributes": {
}
},
"$abc$358$n44": {
"hide_name": 1,
"bits": [ 10 ],
"attributes": {
}
},
"$abc$358$n45_1": {
"hide_name": 1,
"bits": [ 28 ],
"attributes": {
}
},
"$abc$358$n46": {
"hide_name": 1,
"bits": [ 29 ],
"attributes": {
}
},
"$abc$358$n47_1": {
"hide_name": 1,
"bits": [ 11 ],
"attributes": {
}
},
"$abc$358$n48": {
"hide_name": 1,
"bits": [ 39 ],
"attributes": {
}
},
"$abc$358$n49_1": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
}
},
"$abc$358$n50": {
"hide_name": 1,
"bits": [ 47 ],
"attributes": {
}
},
"$auto$alumacc.cc:474:replace_alu$167.C": {
"hide_name": 1,
"bits": [ 110, 111, 54, 57, 60, 63, 66, 69, 72, 112, 113 ],
"attributes": {
"src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:43"
}
},
"$techmap179\\mem.0.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 114, 76, 115, 77, 116, 78, 117, 79, 118, 80, 119, 81, 120, 82, 121, 83 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap181\\mem.1.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 122, 84, 123, 85, 124, 86, 125, 87, 126, 88, 127, 89, 128, 90, 129, 91 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap183\\mem.2.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 130, 92, 131, 93, 132, 94, 133, 95, 134, 96, 135, 97, 136, 98, 137, 99 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"$techmap185\\mem.3.0.0.A1DATA_16": {
"hide_name": 1,
"bits": [ 138, 100, 139, 101, 140, 102, 141, 103, 142, 104, 143, 105, 144, 106, 145, 107 ],
"attributes": {
"src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255",
"unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
}
},
"clk": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "memtest.v:23"
}
},
"clki": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "memtest.v:11"
}
},
"clkosc": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "memtest.v:18"
}
},
"led_b": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:4"
}
},
"led_g": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:3"
}
},
"led_r": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "memtest.v:2"
}
},
"memadr": {
"hide_name": 0,
"bits": [ 7, 6, 55, 58, 61, 64, 67, 70, 73, "x", "x" ],
"attributes": {
"src": "memtest.v:15"
}
},
"pmod_1": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:5"
}
},
"pmod_2": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:6"
}
},
"pmod_3": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:7"
}
},
"pmod_4": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "memtest.v:8"
}
},
"user_5": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "memtest.v:9"
}
},
"user_6": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "memtest.v:10"
}
}
}
}
}
}