diff --git a/memtest/.build/memtest.asc b/memtest/.build/memtest.asc index 38315ad..4bfd3a2 100644 --- a/memtest/.build/memtest.asc +++ b/memtest/.build/memtest.asc @@ -95,18 +95,18 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000100 +000000000000001100 000000000000001100 001000000000000000 000000000000000000 -000000000000000000 -100100000000000000 -000000000000000000 -000000000000000000 -000010000000000100 -000000110000000001 +000000000000000010 +000100000000000000 000000000000000000 000000000000000000 +000000000000000100 +000000000000000001 +000010000000000000 +000001110000000000 .io_tile 7 0 000000000000000000 @@ -203,8 +203,6 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000001100 -000000000000001100 000000000000000000 000000000000000000 000000000000000000 @@ -213,8 +211,10 @@ 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000000110000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 .io_tile 13 0 000000000000000000 @@ -657,7 +657,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1949,7 +1949,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -2031,7 +2031,7 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000011100000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 .logic_tile 11 4 @@ -2529,7 +2529,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -3902,7 +3902,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4286,23 +4286,25 @@ .ramb_tile 6 9 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000010000000111000000001011000000000000 +011000000000000011100011110000000000000000 +000000000000000111100111100101000000000000 +010000000000000001000010000000000000000000 +110000000000000001000110000101000000000000 +000000000000000000000010000000000000000000 +000000000000000000000000000011000000000000 +000000000000000001000000001000000000000000 +000000000000000000000010001101000000010000 +000000000000000001000000001000000001000000 +000000000000000000000000001001001100000000 +000000000000000000000000001000000000000000 +000000000000000000000000001001001000000000 +010000000000000000000000000000000001000000 +010000000000000000000000001101001000000000 .logic_tile 7 9 +000010000000000000000000000000000000000000000000000000 +000000001010100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4310,13 +4312,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4327,7 +4327,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4345,8 +4345,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4367,7 +4367,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4378,7 +4378,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4401,7 +4401,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4435,7 +4435,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4448,24 +4448,24 @@ .logic_tile 15 9 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000010100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 9 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4519,28 +4519,28 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 9 +000000000110100111000011101000000000000000 +000000010000010000100011110101000000000000 +011000000000000011100000000000000000000000 +000000000000000111100000001001000000000000 +110000000000000000000000010000000000000000 +010000000000000111000011101001000000000000 +000000000000000111000011100000000000000000 +000000000000000000000100001101000000000000 +000000001000000000000000011000000000000000 +000000000000000000000011011101000000000001 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000001001010000000 +000000001010000000000010000000000001000000 +000000000000000000000000001111001000000000 +110000000000001011100000001000000001000000 +110000000000000111000000000111001000000000 .logic_tile 20 9 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4753,25 +4753,25 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 10 +000000010000000000000000000000000000000000 +000001010000000000000000000000000000000000 +111000010000000000000000000000000000000000 +000000010000001111000000000000000000000000 +110000000010000000000000000000000000000000 +010000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000 +000000000000000000000011000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 7 10 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4828,11 +4828,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4899,8 +4899,8 @@ .logic_tile 14 10 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000000100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4915,16 +4915,16 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 15 10 +000001000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4933,7 +4933,7 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 16 10 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4987,22 +4987,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 10 +000010110000000000000000000000000000000000 +000001010000000000000000000000000000000000 +111000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +110000000000010000000000000000000000000000 +010000000000100000000000000000000000000000 +000000000000000111000000000000000000000000 +000000000000000000000000000000000000000000 +000010100001010000000000010000000000000000 +000001000000100000000011010000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 .logic_tile 20 10 000000000000000000000000000000000000000000000000000000 @@ -5013,8 +5013,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5209,42 +5209,42 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000011101000100100000000001 +000000000000000101000000000111001010010010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 .ramb_tile 6 11 -000000000000000000000000000000000000000000 -000000010000000000000000001011000000000000 -111000000000000000000000010000000000000000 -000000000000000000000011101111000000000000 -110000000000000000000000010000000000000000 -110000000000000000000011110011000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000011000000000000 -000000000000001011100011110000000000000000 -000000000000001011000111000111000000100000 -000000000000000000000000010000000001000000 -000000000000000000000011000111001110000000 -000000000000001011100010011000000001000000 -000000000000000011100011011011001001000000 -110000000000000001000000000000000000000000 -110000000000000111000000001011001011000000 +000000000000000001000000000000000000000000 +000000010000001001000010001001000000000000 +011000000000000000000000000000000000000000 +000000000000001111000000000101000000000000 +010000000000000000000010000000000000000000 +110000000000001001000011111011000000000000 +000100000000000001000000000000000000000000 +000000000000000001000000000001000000000000 +000000000000000001000000000000000000000000 +000000000000000000100010010001000000000000 +000000000000000000000000000000000001000000 +000000000000000000000000000011001110000000 +000000000000000000000000001000000001000000 +000000000000000000000000000101001000000000 +110000000000000111000000001000000000000000 +110000000000001001100000000101001011000000 .logic_tile 7 11 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000001000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5263,8 +5263,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5282,10 +5282,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5295,6 +5295,11 @@ .logic_tile 10 11 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5302,11 +5307,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5314,10 +5314,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5347,17 +5347,17 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 13 11 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5367,36 +5367,36 @@ .logic_tile 14 11 000000000000000000000000000000000000000000000000000000 000000000000010000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 + +.logic_tile 15 11 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 15 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5411,9 +5411,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000001000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5423,12 +5423,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5441,36 +5441,36 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000100100000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000001001010000000000000000000000000000000000000000000 -000010000001000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001001000000001111111101110011000010000000 +000000000000000101100000000101001110001100110000000000 .ramb_tile 19 11 -000000000000000001000010010000000000000000 -000000010000001001000111111001000000000000 -111000000000001111100111101000000000000000 -000000000000001111100100000001000000000000 -110000000000000000000000001000000000000000 -110000000000000000000000000001000000000000 -000000000000000000000000011000000000000000 -000000000000000000000011111001000000000000 -000000000000001000000000000000000000001000 -000000000000000111000000000001000000000000 -000000000000000000000000010000000001000000 -000000000000000001000011000001001011000000 -000000000000000000000010001000000000000000 -000000000000000000000000001011001100000000 -110000000000000000000000000000000001000000 -010000000000001111000000001001001110000000 +000000000110100111000011101000000000000000 +000000010000000000100011111101000000000000 +011000000000001111100000000000000000000000 +000000000000000011100011110001000000000000 +010000000111001000000111101000000000000000 +010000000110101111000000001001000000000000 +000000000000000111000011101000000000000000 +000000000000001111000100000101000000000000 +000001001000010000000000001000000000000000 +000010000000000000000000000101000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000001001001010000000 +000000000000000000000000001000000000000000 +000000000111000000000000001111001011000000 +010000000000001000000111101000000001000000 +010000000000001111000100000111001000000000 .logic_tile 20 11 000000000000000000000000000000000000000000000000000000 @@ -5689,22 +5689,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 12 +000000010001000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000011100000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000001000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000001 +111000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +010000000000000000000011100000000000000000 +110000000000000000000100000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 110000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 7 12 000000000000000000000000000000000000000000000000000000 @@ -5712,11 +5712,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5731,7 +5731,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5761,22 +5761,22 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 10 12 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001100000000000000000000000000000000000000000000000 .logic_tile 11 12 000000000000000000000000000000000000000000000000000000 @@ -5786,11 +5786,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5835,41 +5835,40 @@ .logic_tile 14 12 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +011001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000010100000000001000000100100000000 +000000000000000000000100000000001010000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 .logic_tile 15 12 +000001000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 .logic_tile 16 12 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5878,7 +5877,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5899,8 +5899,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000010000111 +000000000000000000000000000000000000000000000001000101 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5920,37 +5920,37 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .ramt_tile 19 12 +000000010000000000000000010000000000000000 +000000010000000000000011010000000000000000 +111000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000100 -000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000 -000000000000000111000000000000000000000000 -000000000000000000000010000000000000000000 -000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000010100001010000000000000000000000000000 +000001000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +110000000000000111000000000000000000000000 +110000000000000000000000000000000000000000 .logic_tile 20 12 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6157,40 +6157,40 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 13 -000010100011000000000000010000000000000000 -000000010110100000000011101101000000000000 -111000000000000111000011101000000000000000 -000000000000000000100100001001000000000000 -110000000000101111000111100000000000000000 -110000000000000011100011100101000000000000 -000000000000000000000111101000000000000000 -000000000000000111000000000001000000000000 -000010100010010000000000001000000000000000 -000000000010000000000000001101000000100000 -000000000000000000000000000000000001000000 -000000000000000001000000000101001010000000 -000000000100101000000010000000000001000000 -000001000110000111000100001001001100000000 -110000000000000001000000000000000000000000 -110000000000000000100000000111001011000000 +000000000000001111000000011000000000000000 +000000010000001111000010111001000000000000 +011000000000000000000111111000000000000000 +000000000000000000000010110101000000000000 +110000000000001000000110100000000000000000 +110000000000001101000100001001000000000000 +000100000000001111000011100000000000000000 +000100000000001101000000000101000000000000 +000000000000000001000000000000000000000001 +000000000000000000000000000001000000000000 +000000100000000000000000000000000001000000 +000001000000000000000000000011001110000000 +000000000000000000000010000000000001000000 +000000000000000000000100001101001011000000 +010000000000000000000000001000000000000000 +110000000000000001000000001001001000000000 .logic_tile 7 13 000000000000000000000000000000000000000000000000000000 +000001000010100000000000000000000000000000000000000000 +000010000001010000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100001010000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001001000100000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001001100000000000000000000000000000000000000000000 .logic_tile 8 13 000000000000000000000000000000000000000000000000000000 @@ -6203,8 +6203,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6213,27 +6213,24 @@ .logic_tile 9 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001110000000000000000000000000000000000000000 +000000000000110000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 .logic_tile 10 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6243,26 +6240,29 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 11 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000001110010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000010100001010000000000000000000000000000000000000000 .logic_tile 12 13 000000000000000000000000000000000000000000000000000000 @@ -6273,11 +6273,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6285,24 +6285,8 @@ .logic_tile 13 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +011000000000100000000000000000000000000000000000000000 000000000000010000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 14 13 -000000000000000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000001000000000000000000000000000000000000000000000 @@ -6313,40 +6297,56 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000110100111100000000000000100000000 +000000000000010000000000000000100000000001000000000000 + +.logic_tile 14 13 +000000000000000000000000000011100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000000000101000000000000001000000000 +000000000000001101000000000000100000000000000000000000 +000000000000000000000111100000001000001100111000100010 +000000000000000000000110100000001111110011000011000111 +000000000000000101000110100000001001001100111010000000 +000000000000000101100000000000001010110011000011000111 +000000000000000000000000000000001001001100111000100001 +000000000000000000000000000000001010110011000011000011 +000000000000000000000110100000001000001100111000100101 +000000000000000000000000000000001011110011000011000111 +000000000000000000000110100000001001001100111010100101 +000000000000000000000000000000001000110011000011000111 +000000000000000000000000000101101000001100111010100101 +000000000000000000000000000000100000110011000011000111 .logic_tile 15 13 +000001000000000000000000000000011000001100110010100010 +000000000000000000000000000000011100110011000001000111 +011000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000011100000000000000000000100000000 +000000000000000000000100001101000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000011001000100100010100011 +000000000000000001000000000000011011000000000010000111 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001110000000000000000000 .logic_tile 16 13 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100100000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6359,73 +6359,73 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 18 13 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001001000000000000000000000000000000000000000000000 -000010000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000010100000001011000000000000000000000000000000000000 -000000000000001000000000000011101101110011000000000000 -000000000000000101000000000101001010001100110000000100 -000000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.ramb_tile 19 13 -000000000000000000000111101000000000000000 -000000010000000000000100001111000000000000 -111000000000000000000111110000000000000000 -000000000000000000000111101111000000000000 -110000000000000000000000001000000000000000 -110000000000000000000000001101000000000000 -000000000000000000000111101000000000000000 -000000000000000000000000001001000000000000 -000010100000001000000000001000000000000000 -000001000000000111000000001001000000000000 -000000000000000111100010000000000001000000 -000000000000000001100000001001001101000000 -000000000000000000000011110000000001000000 -000000000000000000000011110011001100000000 -110000000000000011100111101000000001000000 -110000000000000000100010000011001111000000 - -.logic_tile 20 13 -000000000000000000000000000000000000000000000000000000 000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 18 13 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000100001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 + +.ramb_tile 19 13 +000000000000000000000011100000000000000000 +000000010000000000000100001111000000000000 +011000000000000111100000001000000000000000 +000000000000000000100000000111000000000000 +110001000000000111100111111000000000000000 +010010000000000000100111111101000000000000 +000000000000001000000111101000000000000000 +000000000000000111000100000001000000000000 +000000000000000000000000010000000000001000 +000000000000000000000011001101000000000000 +000000001010000000000000000000000000000000 +000000000000000001000000001101001001000000 +000000000000000000000111100000000001000000 +000000000000000001000000000101001100000000 +110000000000000011100000001000000000000000 +110000000000001111000000000101001110000000 + +.logic_tile 20 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +011010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000001000000000000000000111000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 + .logic_tile 21 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6625,37 +6625,37 @@ 000000010000000000000000000000000000000000000000000000 .ramt_tile 6 14 +000000010000000000000000010000000000000000 +000000010000000000000011110000000000000000 +111000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 010000000000000000000000000000000000000000 -000000000000000001000000000000000000000000 -000000000000000000100000000000000000000000 -000000010000000000000000000000000000000001 -000000011000000000000011100000000000000000 +010000000010000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000001110100000000000000000000000000000 +000000110000010000000000000000000000000100 +000001010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000010010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -010000010000000000000000000000000000000000 +000000010001010000000000000000000000000000 +000000011000000000000000000000000000000000 +110000010000000001000000000000000000000000 110000010000000000000000000000000000000000 .logic_tile 7 14 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000011110000000000000000000000000000000000000000000 +000000110000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6689,7 +6689,7 @@ 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010110000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6707,21 +6707,21 @@ 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000011000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010001010000000000000000000000000000000000000000 .logic_tile 11 14 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6757,7 +6757,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6769,22 +6769,22 @@ 000000010000000000000000000000000000000000000000000000 .logic_tile 14 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000011110000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000000000000000000000010000001000001100111000100000 +000000000000000000000010000000001011110011000011110111 +011000000000000000000000000000001001001100111000100011 +000000000000000101000010100000001111110011000011100111 +000000000000000001100110000011101000001100110000000000 +000000000000000000000000000000100000110011000001100011 +000001000000000000000000000000001100000100000100000000 +000010000000000000000000000000000000000000000000000000 +000000010000001000000000001000000000000000000100000000 +000000010000000001000000001001000000000010000000000000 +000000010000000000000110000001100000000000000100000000 +000000010000000000000000000000000000000001000000000000 +000000010000000000000000000000000000000000100100000000 +000000010000000000000000000000001010000000000000000000 +000000011010000000000000010000001000000100000100000000 +000000010000000000000010000000010000000000000000000000 .logic_tile 15 14 000000000000000000000000000000000000000000000000000000 @@ -6805,7 +6805,7 @@ 000000010000000000000000000000000000000000000000000000 .logic_tile 16 14 -000000000100000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6814,13 +6814,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010001000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000011000100000000000000000000000000000000000000000 000000010000010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 .logic_tile 17 14 000000000000000000000000000000000000000000000000000000 @@ -6829,13 +6829,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000011110000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6844,13 +6844,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6861,38 +6861,38 @@ .ramt_tile 19 14 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 +111000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 110000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000010 +010000000000000000000000000000000000000000 +000000000000000111100000000000000000000000 +000000000000000000100000000000000000000000 +000000010000000000000000010000000000000000 +000000010000000000000011100000000000000100 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000010000000000000000000 -000000010000000000000100000000000000000000 -110000010000000011100000000000000000000000 +000000010000000000000000000000000000000000 +010000010000000000000000000000000000000000 110000010000000000000000000000000000000000 .logic_tile 20 14 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000001010000000000000000000000000000000000000000 -000000001100100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 000000010000100000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 .logic_tile 21 14 000000000000000000000000000000000000000000000000000000 @@ -7093,48 +7093,48 @@ 000000010000000000000000000000000000000000000000000000 .ramb_tile 6 15 -000000000000000000000000001000000000000000 -000000010000000000000000000101000000000000 -111000000000000000000000000000000000000000 -000000000000000000000000001111000000000000 -110000000000000000000000010000000000000000 -110000000000000000000011110011000000000000 -000000000000000111100000001000000000000000 -000000000000000000000000000011000000000000 -000000010000001011100000011000000000000000 -000000010000001011100011011011000000100000 -000000010000000011100000010000000001000000 -000000010000001001000011000011001110000000 -000000010000000000000010010000000001000000 -000000010000001111000011001011001100000000 -110000010000000001000000000000000000000000 -110000010000000111000000000111001011000000 +000000000000000111000000001000000000000000 +000000010000001111100000001001000000000000 +011000000000000111000000001000000000000000 +000000000000000000100011110101000000000000 +010000000000000000000010001000000000000000 +110000000000000000000010011101000000000000 +000000000000000001000011100000000000000000 +000000000000001111100100001101000000000000 +000000010000000001000010001000000000000000 +000000010000000000100010000101000000000000 +000000010000000000000000000000000001000000 +000000010000000000000000000011001000000000 +000000010000000000000000000000000000000000 +000000010000000000000000001011001000000000 +110000010000000111000000000000000000000000 +110000010000000000000000001011001000000000 .logic_tile 7 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000011000000001111000000001101011010001100110000000000 +000000000000000111000000000101001010110011000010000000 +000000000000001011100000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000010001000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010010100000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 .logic_tile 8 15 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7150,14 +7150,14 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000011010000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7167,16 +7167,16 @@ .logic_tile 10 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000001010000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000001000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000011000000000000000000000000000000000000000000000 +000000110000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7187,26 +7187,26 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000001010000000000000000000000000000000000000000 +000001010000000000000000000000000000000000000000000000 +000010010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010001010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010001010000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 .logic_tile 12 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7220,73 +7220,73 @@ .logic_tile 13 15 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000101011101010010000000000000 +000000000000000000000000000000101000001000010000000001 +000000000000000000000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000001111000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 + +.logic_tile 14 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 000010100000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000001010010000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000100000000000000000000000000000000000000000 000000010001010000000000000000000000000000000000000000 - -.logic_tile 14 15 -000000000010000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000100110000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001110100000000000000000000000000000000000000000000 -000001010000010000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000010110001000000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000010010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 .logic_tile 15 15 +000010101100000000000000000000000000000000000000000000 +000001000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000000100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000001011100000000000000000000000000000000000000000000 +000000110000000000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010001000000000000000000000000000000000000000000 +000000010001000000000000000000000000000000000000000000 +000010010000100000000000000000000000000000000000000000 .logic_tile 16 15 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000001010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000001010000000000000000000000000000000000000000000000 +000000110000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7296,7 +7296,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7305,49 +7305,49 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000010010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 .logic_tile 18 15 -000000001010000000000000000000000000000000000000000000 -000000100000001111000000000000000000000000000000000000 -000000000000010000000000000001011011001100110000000000 -000000000000100000000000001101001110110011000010000000 -000000000110000000000000010000000000000000000000000000 -000010100000000000000011000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000010110000000000000000000000000000000000000000000 -000000111101000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000011010000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000001000000000000000000000000000000000000000 -000000010000000101000000000000000000000000000000000000 .ramb_tile 19 15 -000000000000000111100000001000000000000000 -000000010000000000100000001001000000000000 -111000000000000001000000011000000000000000 -000000000000000111100011100101000000000000 -110000000000000000000111111000000000000000 -110000000000000000000111110101000000000000 -000000000000001111100000001000000000000000 -000000000000000111100000000011000000000000 -000000010000000000000010000000000000000000 -000000010000001001000100000011000000000000 -000000010000001111000000001000000000000000 -000000010000000011100000000001001000000000 -000000010000000000000010001000000000000000 -000000010000000000000000000011001011000000 -010000010000000000000000000000000000000000 -010000010000000000000000001011001011000000 +000000001000110111000011100000000000000000 +000000010000110000100011111101000000000000 +011001000001010111000000001000000000000000 +000000001000100000100011110001000000000000 +010000000001010111100011111000000000000000 +010010100001100000000111111001000000000000 +000000000000000000000111010000000000000000 +000000000000000000000111100001000000000000 +000001010001010000000000001000000000000000 +000010010000100000000000001101000000100000 +000000010000001000000000000000000001000000 +000000010000000111000000001001001011000000 +000001010000000000000000001000000000000000 +000010010000000000000000000111001010000000 +110000010000000001000000011000000000000000 +010000010000000000000011101111001011000000 .logic_tile 20 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7355,7 +7355,7 @@ 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010001000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7562,37 +7562,37 @@ .ramt_tile 6 16 000000010000000000000000000000000000000000 -000000010000000000000011100000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000001000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +111000010000010000000000000000000000000000 +000000010000100000000000000000000000000000 +010000000000000000000111000000000000000000 110000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000001100100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000010000000000000000000000000000 +000001001110100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +110010000000000111100000000000000000000000 +110001000000000000000000000000000000000000 .logic_tile 7 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7605,12 +7605,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7635,34 +7635,34 @@ .logic_tile 10 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000100001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000001010000000000000000000000000000000000000000 .logic_tile 11 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7681,24 +7681,24 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 13 16 -000000000000000000000000000000011010000100000100000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000001101000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000011010000100000100000000 -000000000000000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7707,50 +7707,50 @@ .logic_tile 14 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000010000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 15 16 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000010000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001001100100000000000000000000000000000000000000000 +000010100001010000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 16 -000000000100000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000110100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7770,7 +7770,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7779,8 +7779,8 @@ .logic_tile 18 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7795,36 +7795,36 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 16 -000010010000000000000000000000000000000000 -000001010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000010000000000000000000000000000 -110000000000100000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000001000000000000000000000000000 -000000000000000111000000000000000000000000 -000000100000000000000010000000000000000000 -000001000000000000000000000000000000000000 -110000000000000000000000000000000000000000 +000000010000010000000000010000000000000000 +000000010000100000000011100000000000000000 +111000010000000000000000000000000000000000 +000001010010100000000000000000000000000000 010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000010000 +000000000001001000000000000000000000000000 +000000001010000111000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +110000100001000000000000000000000000000000 +010000001000000000000000000000000000000000 .logic_tile 20 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8007,16 +8007,16 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 .logic_tile 5 17 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000111000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000011000000100000100000000 -000000000000000000000000000000000000000000000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8029,57 +8029,57 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 17 -000000000001000111000000011000000000000000 -000000010000000000100011101101000000000000 -111010000000000111000110101000000000000000 -000001000001000000100111111001000000000000 -110000000000001000000011100000000000000000 -010000001000101111000100001101000000000000 -000010000001010001000011101000000000000000 -000001001110100111000100000001000000000000 -000000000001000000000000001000000000000001 -000001000010000000000010001001000000000000 -000010000000000000000000000000000001000000 -000001000010000000000000000001001010000000 -000000100000000000000010000000000000000000 -000000001000100000000000001001001111000000 -010000000001010000000000000000000001000000 -110000001110100001000000000101001110000000 +000000000000000000000000000000000000000000 +000000010000000000000000000011000000000000 +011000000000000000000111111000000000000000 +000000000000000000000111101101000000000000 +010000000000000111000010000000000000000000 +110000000000000111000110001011000000000000 +000000000000000001000000000000000000000000 +000000000000000000000010001101000000000000 +000001000000000001000000001000000000000000 +000000100000000001000010000101000000000000 +000000000000000000000000000000000000000000 +000000000000001111000000000011001111000000 +000000000000100000000000001000000000000000 +000000000001010000000010001001001010000000 +110000000000000000000000001000000000000000 +010000000000000000000000001001001010000000 .logic_tile 7 17 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000110001101111111110011000000000000 +000000000000000000000011110011101000001100110000000000 +011010000000000000000111101101000000000000110000000000 +000000000000000111000000000011101010000011000000000000 +000000000000001000000111100000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +000000000000011000000111110011001001001100110000000000 +000000000000000101000111110111011010110011000000000000 +000000000000000000000000001001101000001100110100000000 +000000000000000001000000001101111110110011000001000000 +000010100000010101100000000000000000000000000000000000 +000001000000001101100000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000001011111001110011000000000000 -000000001100000000000000000111001111001100110000000001 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001001000011100000000000000000000000000000 +000000000100001001000000000000000000000000000000000000 .logic_tile 8 17 -000000000000001000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111100000000000001100001100110010000001 -000000000000000000100000000001000000110011000011100100 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 9 17 @@ -8087,46 +8087,46 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 10 17 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000001000010000000000000000000000000000000000000000 -000000000001100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000111010000000000000000000000000000000000000000 -000011100001100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001001010000000000000000000000000000000000000000000 000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010001001010000000000000000000000000000000000000000 -000001101101100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 + +.logic_tile 10 17 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 11 17 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8137,92 +8137,92 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 17 -000000000000000001100000000011100000000000000100000000 -000000000000000000000000000000000000000001000000000000 -111000000000000000000000000000001111000100100010000000 -000000000000000000000000000000001111000000000011000011 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000101100000000000000000000000000010000001 -000000000000000000000000000000000000000000000011100101 -000000000000000111100000000001000000000000000100000000 -000000000000000000100000000000000000000001000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 13 17 -000000000000000000000000000000000001000000001000000000 -000000000000000101000000000000001000000000000000001000 -000000000000000101000000000000000001000000001000000000 -000000000000001111000000000000001011000000000000000000 -000000001000001000000000000111101000001100111010000000 -000000000000000101000000000000000000110011000001000011 -000000000000000000000010110101101000001100111010100000 -000000000000000000000110100000100000110011000011000011 -000000000000000000000000000000001000001100111000000001 -000000000000000000000000000000001000110011000011000111 -000000000000000000000000000000001000001100111010000001 -000000000000000000000000000000001010110011000011000011 -000000000000000000000000010111001000001100111010100001 -000000000000000000000010100000100000110011000011000101 -000000000000001000000000000000001001001100111000000001 -000000000000000101000000000000001000110011000011000101 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 14 17 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000010101100000000000000100000000 -000000000000000000000010100000100000000001000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000101000000000000000100000000 -000000000000000000000000000000100000000001000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 - -.logic_tile 15 17 -000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 + +.logic_tile 15 17 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 17 -000001000110100000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001011010000000000000000000000000000000000000000 -000000100001110000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000010101111100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000010101010100000000000000000000000000000000000000000 -000011100000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8238,65 +8238,65 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000001001000100000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 18 17 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 +000000101010000000000000000000000000000000000000000000 +000001001110000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000010100001010000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 .ramb_tile 19 17 +000000000000000111100000011000000000000000 +000000110000000000100011111101000000000000 +011000000000001111100111101000000000000000 +000001000000001111100100000101000000000000 +110000000000000000000000001000000000000000 +110000000000001111000000000001000000000000 +000000000000000111100010001000000000000000 +000000000000000001000000000001000000000000 000000000000000000000000001000000000000000 -000000010000000000000000001011000000000000 -111000000000000000000000001000000000000000 -000000000000000000000000000111000000000000 -110000000000000000000000000000000000000000 -110000001110000000000000001011000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000001011000000000000 -000000000000000000000111110000000000000000 -000000000000000000000011100111000000000000 -000000000000000001000010010000000001000000 -000000000010000001000011100111001100000000 -000000000000001111100011101000000001000000 -000000000000001111000000001111001100000000 -110000000000001011100111111000000001000000 -110000001000001111100111111111001101000000 +000000000000000000000000000101000000000000 +000000000000001000000000001000000000000000 +000000000000001111000010001001001010000000 +000000000000000000000111100000000001000000 +000000000000000000000100001001001101000000 +110000000000000000000000000000000001000000 +010000000000000000000010000001001111000000 .logic_tile 20 17 +000000000000001000000110000000000000000000000000000000 +000000000000010011000011110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000001000010000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000100000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000010000001010000000000001101111110001100000000000000 -000001000000100000000000000011000000000011000000000100 -000000100001001000000000000000000000000000000000000000 -000000000010001001000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000010001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000001001001000100100000000000 +000000000100000101000000000000011010100001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000001000001110000100100010000000 +000000000000001101000000000111001001010010000000000000 .logic_tile 21 17 000000000000000000000000000000000000000000000000000000 @@ -8481,8 +8481,8 @@ .logic_tile 5 18 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8499,38 +8499,38 @@ .ramt_tile 6 18 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000001000000000000000000000000 -000000001100000000000000000000000000000000 +111000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +010000000000000000000110100000000000000000 +110001000000000000000100000000000000000000 +000000000000000101100000000000000000000000 +000000000000000000100000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000 +000000000001000000000000000000000000000000 +000000001010100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 +010010100000000000000000000000000000000000 +110001000000000000000000000000000000000000 .logic_tile 7 18 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000001100100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000001110100000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000011000001 +000000000000000000000000000000000000000000000010100111 .logic_tile 8 18 000000000000000000000000000000000000000000000000000000 @@ -8575,10 +8575,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8589,16 +8589,16 @@ .logic_tile 11 18 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000001100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8623,22 +8623,22 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 13 18 -000000000000000000000000000011001000001100111010000001 -000000000000000000000000000000100000110011000001110010 -111000000000000000000000010000001001001100111010000001 -000000000000000000000010000000001100110011000001100001 -000000000000001000000010100000001001001100110010000001 -000000000000000001000000000000001001110011000001100001 -000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000110010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000001100000000000001110000100000100000000 000000000000000000000000000000000000000000000000000000 -000000000000000001100000000000000000000000100100000000 -000000000000000000000000000000001101000000000000000000 -000000000000000000000000000000001010000100000100000000 -000000000000000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 14 18 000000000000000000000000000000000000000000000000000000 @@ -8653,16 +8653,16 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 15 18 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8677,20 +8677,20 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 16 18 -000001000000000000000000000000000000000000000000000000 -000010001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000111010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8698,7 +8698,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8716,55 +8716,55 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .ramt_tile 19 18 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 +000000010000000000000000010000000000000000 +000000010000000000000011110000000000000000 +111000010000001000000000000000000000000000 +000000010000001111000000000000000000000000 +010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000 -000000000000001111000000000000000000000000 -000000000000000000000010000000000000000000 -000000000000000000000100000000000000000000 -110000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 010000000000000000000000000000000000000000 .logic_tile 20 18 -000010000001010000000000000000000000000000000000000000 -000001001110100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 .logic_tile 21 18 000000000000000000000000000000000000000000000000000000 @@ -8965,38 +8965,38 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 19 -000000000000000000000000011000000000000000 -000000010000000000000011110001000000000000 -111000000000001111100111101000000000000000 -000000000000001111000100000001000000000000 -110000000000001000000111100000000000000000 -110000000000001111000000001101000000000000 -000000000000001000000111111000000000000000 -000000000000000111000011101101000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000001011000000000000 -000000000000000000000000010000000001000000 -000000000000000001000011001111001001000000 -000000000000000000000010011000000000000000 -000000000000000000000011011101001001000000 -110000000000000000000000001000000000000000 -010000001110000000000000000101001010000000 +000000000000000111100000000000000000000000 +000000010000000111000000000101000000000000 +011000000000000000000111001000000000000000 +000000000000000000000100001001000000000000 +110000000000000111000011100000000000000000 +110000000000000000000011101101000000000000 +000000000000000111000110100000000000000000 +000000000000000111000100001111000000000000 +000000000000000000000010001000000000000010 +000000000000000000000000000101000000000000 +000010100000000000000000001000000000000000 +000001000000000111000000000101001000000000 +000000000000000000000010001000000000000000 +000000000000000000000010001101001000000000 +110010000000000000000000000000000000000000 +010001000000000000000000001011001011000000 .logic_tile 7 19 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111011001001100110000000000 -000000000000000000000000000001001010110011000010000000 -000000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9005,12 +9005,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9021,25 +9021,59 @@ .logic_tile 9 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100100000000000000000000000000000000000000000 -000010100000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000001010000000000000000000000000000000000000000 -000010001011100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001001010000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 10 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 + +.logic_tile 11 19 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 12 19 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9052,40 +9086,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 - -.logic_tile 11 19 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 12 19 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9093,47 +9093,47 @@ .logic_tile 13 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000110010000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000100100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 14 19 -000001001110100000000000000000000000000000000000000000 -000010100001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001001110000000000000001011111100000011000000000000 -000000100000000000000000000011010000001100000010000000 -000000000000100111000111100000000000000000000000000000 -000000000000000001100100000000000000000000000000000000 -000001001110000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 .logic_tile 15 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9153,11 +9153,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9165,6 +9165,8 @@ .logic_tile 17 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9173,66 +9175,64 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 18 19 -000000000000001000000111110001011010001100110100000000 -000000000001000111000011001001101000110011000001000000 -111000000000000000000000000000000000000000000000000000 -000000001000100000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000001000000000000000000000000000000000000000000 -000000100000001000000000000000000000000000000000000000 -000000000000100111000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000010000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000001000000000111101111001100110000100000 +000000000000100101100000001101001110110011000000000000 .ramb_tile 19 19 -000000000000000001000111101000000000000000 -000000010000000000100100000001000000000000 -111000000000001000000111110000000000000000 -000000000000001111000011100101000000000000 -010000000000001000000011111000000000000000 -110000000000001111000011111111000000000000 -000000000000000011100010000000000000000000 -000000000000000000100100001101000000000000 -000000000000000001000000011000000000000000 -000000000000000000100011011101000000000000 -000000000000000000000000000000000001000000 -000000000000000000000000000001001001000000 -000000000000000000000000000000000001000000 -000000000000000000000000001011001001000000 -010000000000000000000111000000000000000000 -110000000000000000000000000011001001000000 +000000000000000011100000001000000000000000 +000000010000000111000000001001000000000000 +011000000000000111000000011000000000000000 +000000000000000000000011010101000000000000 +110000000000000000000000001000000000000000 +010000000000000000000010000101000000000000 +000000000000001011100111000000000000000000 +000000000000001011100111100001000000000000 +000000000000000000000000011000000000000000 +000000000000000000000011111101000000000001 +000000000000000000000000001000000000000000 +000000000000000001000000001101001110000000 +000000000000001000000000001000000000000000 +000000000000000011000000000111001000000000 +110000000000000001000000000000000001000000 +010000000000000000000000001101001000000000 .logic_tile 20 19 -000000000000001111000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000111000100000000000000000000000000000000 -000000000000000000000000001001100000000000110000000000 -000000000000000000000000001101101000000011000001000000 -000000100000001000000110000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001111100001100110000000000 -000000000000000000000000000101001000110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 .logic_tile 21 19 000000000000000000000000000000000000000000000000000000 @@ -9433,49 +9433,49 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 20 +000000010000000000000000010000000000000000 +000000010000000000000011100000000000000000 +111000010001010000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -011010010000000000000000000000000000000000 -100001011100000000000000000000000000000000 -010000000000000000000111100000000000000000 -110000000000000000000100000000000000000000 -000010100000000111100000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000100000 +010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000 -000001001100100000000000000000000000000000 +000000000000000000000000000000000000000001 +000000000000000000000000000000000000000000 +000000000100001111000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -010000000001010000000000000000000000000000 -110000000000100000000000000000000000000000 +110010100001010000000000000000000000000000 +010001000110100000000000000000000000000000 .logic_tile 7 20 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 8 20 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9490,7 +9490,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9498,7 +9497,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9507,20 +9507,20 @@ .logic_tile 10 20 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 .logic_tile 11 20 000000000000000000000000000000000000000000000000000000 @@ -9533,16 +9533,16 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 12 20 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9565,12 +9565,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000011000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9583,26 +9583,26 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000010100000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 15 20 -000000000000000000000000000000000000000010000010100000 -000000000010000000000000000000000000000000000011100111 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9613,8 +9613,8 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 16 20 -000000001100000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9657,8 +9657,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9667,26 +9667,26 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 20 -000010010000000000000000000000000000000000 -000001010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100001010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 -000000000001000111100000000000000000000000 -000000000000000000100000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000100000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +111000010000010000000000000000000000000000 +000000011010000000000000000000000000000000 +010000000000000000000111100000000000000000 +110000000110000000000100000000000000000000 +000000100001010000000000000000000000000000 +000001000110000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000000000000010000000000000000000 000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 +000000000000001000000000000000000000000000 +000000000000000111000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +110010100000000000000000000000000000000000 +010000001010000000000000000000000000000000 .logic_tile 20 20 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9695,12 +9695,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 .logic_tile 21 20 000000000000000000000000000000000000000000000000000000 @@ -9876,7 +9876,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9901,24 +9901,28 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 21 -000000000000000111000111001000000000000000 -000000010000000000000100000101000000000000 -111010000000001000000000011000000000000000 -000000000000000011000011000101000000000000 -110000000000001000000111010000000000000000 -110000000000000011000011011101000000000000 -000010100000010011100000000000000000000000 -000001001100100001000000001001000000000000 +000000000000000000000000000000000000000000 +000000010000000000000011100011000000000000 +011000000000000111000110110000000000000000 +000000000000000111000111100101000000000000 +110000000000000000000000000000000000000000 +110000000000000001000000001011000000000000 +000000000000000001000000000000000000000000 +000000000000000000000010000111000000000000 000000000000000000000000001000000000000000 -000000000000000000000000001001000000000001 -000010100000010000000010000000000000000000 -000000001100100001000000001101001000000000 -000000000000000000000010001000000001000000 -000000000000000000000000000001001100000000 -110010100001010001000000000000000001000000 -110001000000000000000000000101001101000000 +000000000000000001000010000101000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000001101001111000000 +000000000000000000000011101000000000000000 +000000000000000011000110001001001001000000 +010000000000000000000000001000000001000000 +010000000000000000000000001001001000000000 .logic_tile 7 21 +000000000000000011100010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000001000000000000001101110110011000000100000 +000000000000000101000000000001001001001100110000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9927,24 +9931,20 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 .logic_tile 8 21 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9962,11 +9962,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9975,20 +9975,20 @@ .logic_tile 10 21 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010100000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 11 21 000000000000000000000000000000000000000000000000000000 @@ -10005,15 +10005,15 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 12 21 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10053,8 +10053,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10066,6 +10066,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10075,21 +10078,18 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 21 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10099,75 +10099,75 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 17 21 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 18 21 +000001000000100000000000000000000000000000000000000000 +000010100001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001110100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 21 -000000000000100000000111100000000000000000 -000000010000010000000111110011000000000000 -111000000000000000000000000000000000000000 -000000000000000000000000001101000000000000 -010000000000000000000010000000000000000000 -010000000000000001000010001011000000000000 -000000000000000000000010000000000000000000 -000000000000000000000000001111000000000000 -000000000000000001000000011000000000001000 -000000000000000001000011101101000000000000 +000000000000001111100000001000000000000000 +000000010000001111100000001101000000000000 +011010000000001000000111101000000000000000 +000000000000001111000000000101000000000000 +110000000000001000000000010000000000000000 +010000000000000011000011110001000000000000 +000000000000000111100010001000000000000000 +000000000000000001000000001001000000000000 +000000000000000000000000011000000000000000 +000000000000000000000011110101000000000001 000000000000000000000000000000000000000000 -000000000000000000000000000101001001000000 -000000000000000000000000000000000001000000 -000000000000000001000011111101001111000000 -110000000000000011100010000000000000000000 -110000000000000000100000000011001110000000 +000000000000000000000000001101001010000000 +000000000000000001000000001000000000000000 +000000000000000000000000000001001110000000 +110000000000000111100000000000000001000000 +110000000000000000000010000001001111000000 .logic_tile 20 21 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 21 21 @@ -10351,8 +10351,8 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 5 22 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10369,40 +10369,40 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 22 +000000010000000000010000000000000000000000 000000010000000000000000000000000000000000 +111000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 +010000000000000000000011100000000000000000 110000000000000000000000000000000000000000 +000000000001010111100000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000001 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000 -000000000000001000000000000000000000000000 -000000001010000111000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 110000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 .logic_tile 7 22 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001001010000000000000000000000000000000000000000 -000000001100100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 22 000000000000000000000000000000000000000000000000000000 @@ -10461,7 +10461,6 @@ .logic_tile 11 22 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10469,12 +10468,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 22 000000000000000000000000000000000000000000000000000000 @@ -10531,9 +10531,6 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 15 22 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10541,7 +10538,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10553,8 +10553,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10593,32 +10593,32 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 22 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 +000000010000000000000000010000000000000000 +000000010000000000000011110000000000000000 +111000010000001000000000000000000000000000 +000000010000001111000000000000000000000000 +010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000001 +000000000000000000000000000000000000000100 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000010000000000000000000 -000000000000000000000100000000000000000000 -110000000000000011100000000000000000000000 -110000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 20 22 000000000000000000000000000000000000000000000000000000 @@ -10631,11 +10631,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 21 22 @@ -10837,40 +10837,40 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 23 -000000000000000111100111100000000000000000 -000000010000000000100111101101000000000000 -111000000000000111000000011000000000000000 -000000000000000000000011010001000000000000 -110000000000000000000011111000000000000000 -110000000000000000000011011001000000000000 -000000000000001011100111101000000000000000 -000000000000001011100111101101000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000001001000000000000 +000001000000000011100000000000000000000000 +000000110000000111100000000001000000000000 +011000000000000000000011101000000000000000 +000000000000000111000000001001000000000000 +110000000000000011000010001000000000000000 +110000000000000011000011101101000000000000 +000000000000000000000111001000000000000000 +000000000000000000000110000111000000000000 000000000000000000000000001000000000000000 -000000000000000000000000000101001011000000 -000000000000000000000010001000000001000000 +000000000000000000000000000001000000000001 +000000000000000000000000000000000000000000 +000000000000000000000000000101001000000000 +000000000000000000000010000000000000000000 000000000000000000000000001101001010000000 -110000000000000001000000000000000000000000 -110000000000000000000000000001001001000000 +110000000000001111000000001000000000000000 +110000000000001101100000001101001011000000 .logic_tile 7 23 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000001111111011110011000000000000 -000000000000000000000000000001001100001100110000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 23 000000000000000000000000000000000000000000000000000000 @@ -10879,10 +10879,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10897,15 +10897,15 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 10 23 @@ -10915,7 +10915,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10948,8 +10948,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10957,6 +10955,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10974,7 +10974,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10984,17 +10984,17 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11019,12 +11019,12 @@ .logic_tile 16 23 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11041,6 +11041,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11049,44 +11051,42 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 .logic_tile 18 23 -000000001100100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000010100001010001000000000000000000000000000000000000 -000000000000000001100000000101111110001100000000100000 -000000000000000000000000000101000000000011000000000000 -000000001100000000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000000000000000011000001000000110000000000 -000000000000000000000000000111001110000011000000000000 -000001000000101000000000000000000000000000000000000000 -000010100001010011000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 19 23 -000000000000001001000000001000000000000000 -000000010000001111000000000001000000000000 -111000000000001000000111111000000000000000 -000000000000000111000011101101000000000000 -110000000000000000000010011000000000000000 -110000000000000000000111111001000000000000 -000000000000001011100010010000000000000000 -000000000000001111100111111101000000000000 -000000000000000001000000000000000000000000 -000000000000000000100000001101000000000000 -000000000000000000000000000000000001000000 -000000000000000000000000000001001001000000 -000000000000000000000010001000000000000000 -000000000000000000000000001101001000000000 -010000000000000000000000000000000001000000 -110000000000000000000000000011001010000000 +000000000000000111000011001000000000000000 +000000010000000000000011000001000000000000 +011000000000001000000000011000000000000000 +000000000000001011000011010101000000000000 +010000000000000000000010001000000000000000 +110000000000000111000100001001000000000000 +000000000000000001000111000000000000000000 +000000000000000111100100000101000000000000 +000000000000000000000010001000000000100000 +000000000000000000000000001101000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000001001001110000000 +000000000000001000000000001000000000000000 +000000000000000011000000001111001001000000 +010000000000000000000010000000000000000000 +110000000000000000000000001011001000000000 .logic_tile 20 23 000000000000000000000000000000000000000000000000000000 @@ -11099,8 +11099,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11307,19 +11307,19 @@ .ramt_tile 6 24 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -010000000000000000000111100000000000000000 +111000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +010000000000000000000111000000000000000000 110000000000000000000100000000000000000000 -000000000000000111100000000000000000000000 -000000000000000000100000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000001 000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 +110000000000000011100000000000000000000000 110000000000000000000000000000000000000000 .logic_tile 7 24 @@ -11370,7 +11370,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11379,7 +11379,7 @@ .logic_tile 10 24 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11441,7 +11441,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11539,21 +11539,21 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 24 +000000010000000000000000010000000000000000 +000000010000000000000011010000000000000000 +111000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 -000000000000010011100000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000001 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000 -000000000000000000000000000000000000000000 010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000100 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +110000000000000001000000000000000000000000 110000000000000000000000000000000000000000 .logic_tile 20 24 @@ -11752,7 +11752,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 .logic_tile 5 25 000000000000000000000000000000000000000000000000000000 @@ -11773,22 +11773,22 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 25 -000000000000001000000111001000000000000000 -000000010000001011000000000101000000000000 -111000000000000000000000000000000000000000 -000000000000000000000000001001000000000000 -110000000000001011100111000000000000000000 -010000000000000011100111101101000000000000 -000000000000001011100000011000000000000000 -000000000000000011000011000101000000000000 000000000000000000000000000000000000000000 -000000000000000000000010000001000000100000 -000000000000000000000010001000000000000000 -000000001100000001000000001101001011000000 -000000000000000000000000000000000001000000 -000000000000000000000000000101001111000000 -110000000000000000000010000000000001000000 -010000001100000000000000000101001101000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 7 25 000000000000000000000000000000000000000000000000000000 @@ -11833,7 +11833,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11849,17 +11849,17 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 11 25 @@ -11918,7 +11918,7 @@ .logic_tile 14 25 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11979,8 +11979,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11989,16 +11989,16 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 18 25 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12007,22 +12007,22 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 25 -000000000000000000000111100000000000000000 -000000010000000000000111100011000000000000 -111000000000000000000000000000000000000000 -000000000000000000000000001101000000000000 -010000000000000000000010001000000000000000 -010000000000000001000010001111000000000000 -000000000000000000000010000000000000000000 -000000000000000001000000000111000000000000 000000000000000000000000000000000000000000 -000000000000000001000000001101000000000100 000000000000000000000000000000000000000000 -000000000000000000000000000101001001000000 -000000000000001000000010001000000000000000 -000000000000000111000000001101001111000000 -110000000000000001000011101000000001000000 -110000000000000001000100000101001110000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 25 000000000000000000000000000000000000000000000000000000 @@ -12241,22 +12241,22 @@ 000000010000000000000000000000000000000000000000000000 .ramt_tile 6 26 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000011100000000000000001 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -010000010000000000000000000000000000000000 -110000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 .logic_tile 7 26 000000000000000000000000000000000000000000000000000000 @@ -12475,22 +12475,22 @@ 000000010000000000000000000000000000000000000000000000 .ramt_tile 19 26 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -011000010000000000000000000000000000000000 -100000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000100 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000010000000000000000000 000000010000000000000000000000000000000000 -110000010000000011100000000000000000000000 -110000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 .logic_tile 20 26 000000000000000000000000000000000000000000000000000000 @@ -12675,8 +12675,8 @@ .logic_tile 4 27 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12705,8 +12705,8 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 .ramb_tile 6 27 000000000000000000000000000000000000000000 @@ -12748,7 +12748,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12778,13 +12778,13 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010001000000000000000000000000000000000000000000 .logic_tile 10 27 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12828,7 +12828,7 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000010010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -12849,8 +12849,8 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 .logic_tile 14 27 000000000000000000000000000000000000000000000000000000 @@ -12918,10 +12918,10 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010110000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010001010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 .logic_tile 18 27 @@ -12936,7 +12936,7 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010110000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -13188,7 +13188,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -13619,7 +13619,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -13637,8 +13637,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -13660,7 +13660,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 7 29 000000000000000000000000000000000000000000000000000000 @@ -13710,7 +13710,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -14529,12 +14529,12 @@ .io_tile 4 31 000000000000000010 000100000000000000 -000010000000000000 -000000110000000001 -000000000000000010 +000000000000000000 +000000000000000001 +000000000000010010 000000000000110000 000000000000000100 -000000000000000000 +000011110000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14545,18 +14545,18 @@ 000000000000000000 .io_tile 5 31 -000010000000000010 -000101110000000000 +000000000000000010 +000100000000000000 000000000000000000 000000000000000001 -000000000000000010 -000000000000010000 +000000000000100010 +000000000000110000 000000000000000100 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 +000000111000000000 +000000001000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14565,7 +14565,7 @@ .io_tile 6 31 000000000000000010 000100000000000000 -000010000000000000 +000000000000000000 000001110000000001 000000000000000010 000000000000110000 @@ -14636,7 +14636,7 @@ .io_tile 10 31 000000000000000000 -000000000000000000 +000000000000011000 000000000000000000 000000000000000000 000000000000000000 @@ -14675,12 +14675,12 @@ 000000000000000000 000000000000000000 000000000000000000 +000000000000000100 +000000000000001000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 +000010000000000000 +000001010000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14764,36 +14764,36 @@ 000000000000000010 000100000000000000 000000000000000000 -000000000000011001 -000000000000110010 +000000000000000001 +000000000000100010 000000000000110000 000000000000000100 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 000000111000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000000000000 000000000000000000 .io_tile 18 31 000000000000000010 000100000000000000 000000000000000000 -000000000000000001 -000001010000110010 -000000001000010000 -001000000000000100 +000000000000011001 +000000000000110010 +000000000000110000 +001000111000000100 000000000000000000 000000000000000000 000000000000000000 000000000000010010 -000000000000010000 -000001010000000100 -000000001000000001 -000000000000000010 +000000000000110000 +000000000000000100 +000000000000000001 +000000111000000010 000000000000000000 .io_tile 19 31 @@ -14801,16 +14801,16 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000000100010 +000000000000110010 000000000000010000 000000000000000100 000000000000000000 -000001011000000000 -000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000001010000000000 +000000001000000000 000000000000000000 000000000000000000 @@ -14904,421 +14904,466 @@ 000000000000000000 000000000000000000 -.ram_data 19 11 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 19 17 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 6 21 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 +.ram_data 6 23 +d8095d955a83fb8c897804a795e06eb32dc8c3ce9ad1ceb096fa2df7e2c2ffb7 +222e082812e81b765301c262e8844898e72739e323b774675c856187085712f1 +25c9f913f7b0979e33184a6cbd13fe45896de9461dfabdb997e94e56eb3b56e0 +31c65f553881e54e3795e0e7f96a972f742507c6026675a8ebc463b8ca33e490 +2956a882b6399391c631a4eb5e1073a8606437f8114c2b2a5fcad3885fa72ea0 +279b36b04dabda85be9e5fe8532d23de7782ae74ea538e22bccfeb68501c971a +542ee25c93b1537ca8321f65a4a1a77815f5dd03f19ee517ead9498190e0f9e9 +1b43a087c6a223c6a90b6724c711797c8ed4f147410febc18205d0249e9ab923 +abf53b45cc639ce40a5ee4608787992c6a9acb38754cc9fb24a7c4c3fb1e2171 +d79b631fcd3fc139479621a2a1e9307bd30522c2aa6734b08acfc94f1a58fe43 +9cae2d0178fc1d0f6b74ed7f06e35c909e55856a23c2195539eb489a800215b2 +ad791101ca5833d835fd95470ce9ea8a6942a709e5af1b9b8db8a42e5de51b52 +fd520df82026474d80c46dc03ff0cb8b2a5f593c1feccf888cf33bec645e5195 +32c76dc01338e8b46fd660426efb187ca0c0c797f718cb2ad477169f46bd5f8f +89501cc463ac9d4f4485ded589784548b495aed0b945e22c4976bcce03c6f8af +811752dce857d199419fcbc5b85c9550469602a22e8a36ab02b39b3313ec2914 .ram_data 6 11 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 19 19 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 19 25 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 6 13 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 19 21 -0000000000000000000000000000000000000000000000000000000000007fff -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 6 23 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 6 15 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 6 17 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 19 15 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 6 19 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 19 23 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 6 25 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 +ac6974a4d9c5cdab23f2285509561388aa20f1b9377913134e909fa8dfec0951 +167095528564206c7d305bde15e66f2ce79b941cbbf3cb507c5af2044d33b5f2 +b91beace7780d7cee1255a34968772bde29f8a1fb7cb2057db63d3df59f15f4f +eea42da830c01dacfa151bdcba09c1fda44ae707bbd49f89ba26a8025f1ea9f2 +e6133cc0673ff31ba6415b23d4c231a7624dca6ebd8ca947f62f3752fca6b97e +64ca975aa41da215f62d9759eed1df731e24df61f2f6c0c8b6ada10029866024 +2b6a7e85471a94fa7c12a782198377eb2aa987cffbc2325bf797b3eb2d822267 +c9ba8734bbb89809653edd064e2bd30a53cf255705cb5198ed0a1ea7f94edb7c +6de987f270904be311c0dc47849a959e329fb40855be9c331d2338fa9eae8696 +ee4ec43f330d9522cdc6f8ba9b8c833534753533572cef658fce9ee74acdfff0 +7a21a71f9664c91d54bb60e33649c9ccfdc81bd2ce8f2ae05c1fdc0f14393291 +d3f9be6ff6f47422197ca01cfb723e906e3f48f83c763864875a0a8d81995c70 +b7e21d980677da1eeaa8cf6d82c0c03cc91c6b9b75e678ebbc9dd962c69fcb95 +a0b68d8c4066a47fc5b537344fb29069807d22ffbfbe1f6c84090cfb75c9ae23 +80e7269d6fbafe663283f7232528eeee496b6fddd8a83ec0b0ed7a23058b3d8b +dff83a24f69aae75d7d04558d7e5944733115b8c5a79d47cdbd92d518f73f31d .ram_data 19 13 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 +c5c116a1253df48010ff8188b39360cd7ccb0bc8fc1321c107bde3330f89997b +69b891b511c07e2c791eab5d4b77dc1704138fa0180464076462e2cac5751331 +a76707ccb6d9b00e7c48b8b7bc2e50f37dc509b50fb7bfe1456a9da13c98df83 +277bf79a8c825702d45601c364d8ab19be3fe663eb5ca54157bb57bb4dfe178f +24d92986978ffb01bf0b45ab38c903ad962d83e4552f6f5494cd133ef538ab63 +c9c82bad1acd1914784e33ec7fba871f98442a541d2aedae8f69c00e192b4143 +6aa04bdd7231554509a5fe27109c19e40cbef97ce37dc7b941c79e058836d5ad +f9e785a994c3dd0b2567d228cd80d6542b9acd1f11d5c5f054d5c32e8c8a6b6c +b8b0ad5393fb7e23f13f3dfa7e2be07ffdb697f6aceefdf3cc2b626681c8f9d5 +d4d4c973f6ced5beed8871cd11818f2a46f38bbd1df9f57933233f093eb4d32e +c3dda53ce86388e0cccfaf736dfef0ea5f069165969fe9f34f61c7f49339c62a +eec6f6879940b75307f01bc79318569479355ca84335e53627959ab565edf5e8 +7ebe4b7eeeba008a46f2aa05952165e18f876ec534c88cdd9e4a134c399b1e22 +22d0c7fc6bd212e43ae16df42a092ee1b7e67890dc554a62fbcec9a9393eafd7 +891b42bde8b6e01b5ced30a3f9e6a94b22fe1dfd75ed12480b4e18ca237609cc +c2cb5dc17d44ff3e63f0cd3e2b13e510a642aeb7222f96655a0b3d020bb46ed8 -.sym 10 $PACKER_GND_NET_$glb_clk -.sym 11 clk -.sym 13377 $PACKER_GND_NET -.sym 17200 $0\memadr[10:0][6] -.sym 17580 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 17820 $PACKER_VCC_NET -.sym 18572 led_r -.sym 20302 $0\memadr[10:0][0] -.sym 20553 $0\memadr[10:0][0] -.sym 20789 $0\memadr[10:0][0] -.sym 20902 memadr[0] -.sym 22269 led_r -.sym 22651 $PACKER_GND_NET +.ram_data 6 19 +9061ef137774ac1b031146163bc3489c355c265e5f3937c11a7868528184d9c3 +bf02b35d3a25d73c0848d4606570129faf045983f23587ca201870e2cb7e997b +bc19130212a8a7a93df1be4faaa9b98fdad5b3d40adf2c977647f6d505c686fd +702a2a3cf492f3491898ae31ed010dc2bafaa3709722021e252ecbd646ab3f2f +997f738abc6b44c7dc39535d198b3f8adbb314e37fc97129513b940ec9444bef +3d1dd17237f31df1537f39f24e75d38e277e0f58991969f40cd44eb23280b8fe +3d2cbf8f7e4099c6f7801f97f8c4e135cb9d76b637e86ec040866f86b3aa971e +530fa0892885adb8be7df8ef9b604ac92c0b2d0bae438867a1b730c5e2b8dc35 +4cbf2c2ef3360d772059708e3749b78df393e6a13467c08100aa7ec19848d3ea +92c35f7d0b3261bf4f54f21d39e05fffa4d287517be611fd6d6296223feb283d +0826107868cdb6c48ebea40971fab6e8d66538e702387caa29cf1c29fc28cc60 +e416ef79dbd00af63e9dccdc0b85edad1abb97cd61ccb96820c77d3829bbda90 +75523674efcbfc93ee514fd8a03f8efe6007e2045b1c66d9d9adc909acf7fcaa +9494fe0e925ee2ca3e6103234e77825f8aed98ee7fd728ec396292cd174d4849 +46a05a07130603b300dcbc664f1f42bc6e95af167d25925c176e7de5740c26a3 +bf93e2d1d17516f07fa023369ce16d830605219ce0257c920543a5b1bebe8eb2 + +.ram_data 19 15 +0d8838dc1c6d4565a3fd89c86dca4c0881c542562ce366ede099bb79a7258f14 +f933ef3c54880014b9d3f08a54878aa1f67e44a33c4ebfeeff72382d81776a16 +30c302a7395fbc8551d8ff0639580ee0b20c85f97ca623160e5875b940a164fa +a58f8edbbac4822017e2ac9d1cdf50ef1bbdcd3c8a3b178c53e735a777ef187c +ceffd3190d52941a96dd77d4cf4fd0595835e0bd8c8cc69ace7379b691da0740 +6caa220fd0449259f8d2f7b87fdeca98c5504434f8a43bcd851c9e6d0a80b4c8 +f2b3182d4d117131de11f25904d11a2e9f4991d8afb30ecf867b5188a12366a9 +dbd1c1b026fc54f946031419d907a6c5dbb60c950b56cab210ae7ad0878be8cd +7595ffd237aca9129f1015cd2d3e94d45e21c2151aceb3b13c35907cee7a0aa5 +022d6b0785eda05f1fcdc5521e4de0b5bced6b5fbd55ac8e91c00904736fc1ee +34d3e940177b40891a8eaee7f3249d5a896cf17d3f971606fa9801219738d930 +e8d766189ba5bc5d00a66a82ace14bd3a01b78745638f51c642e58258094c361 +9c1a7169bec22095f9b5aed3570a56972b34c08a9064976ef0c7e67da343213d +50897b3c5b7f56c172fab1e6aac5c6dffe9b6b395114f73e58c30fdb4e229cdc +0d9e741168ca4b860f5460a879a4ab44d85d8bb8fd957ae53201e5df1e6d00f4 +4738d716d9d0bcd90b1d5448c960d5adc2643171d5b53903ba9e5fb2ffe31dda + +.ram_data 19 17 +82513d8d3946e77292c203daabb3eb460aea8a6127680f25aa2ff8cc12c30c63 +96ab382165acb1b7ae61af6f0ed48f3a71b2c419541943559a6e59fdfb9f3e93 +004826701901e57b97e16044389e56f57e139ea444629186e96762ca9124f70e +7034fb869b3288706dfc0a040d4e7a165009b736e84b1720b402c82b281baf35 +3456dc144f2cb2c8b8a57baba9d9c7c2b249f119c53b29e345674a8281f0da76 +05408d26eeecc7c810ad7ec26b6072bd665882e469032cbe6e95780d182463ef +6093202c8d4807052c17cbd388b9d9b19f0130d43381f480495e374b7d803c08 +fcc2ed823ca348e41ee82415ad96e11f8710600bb5410a22dd084540f9f479f8 +5e28df5affb67b32e44b136b35f0f859ee455810d7a5448f231825a5bfb1188c +df78ccd3a4e38fb49e847cb8c87498e3244dfb9142a437d2ce7ab5df0192d356 +cdeaf4f6597634e7ffc81a01297c2238e0d4af745e4041e48f36379e31bbdb5a +6aae55a9b931ee6c1919dc8d1ece4d14c04736c2b9c536d96e45361d1ba735c9 +295ce8f6c14c1187e71790c9ac3c30caef188b261fd41abd29f928d2d9298d16 +c0060073dcae762531e3c4648f96ca2f571ee424b420dec52b952a722d22e6fb +8ae5e9a5dbb09d32f83d8ade31128900c3c2a365aa3961625d8fec8439524f7d +63a5ddef85e3ca72fa1c2a9ada9c58673244e6355295a0d315cf12f8d511abbf + +.ram_data 6 21 +08253d8377e15c600a45c21b8b955db221ec769477c4ee1750b132d541d0a8a9 +2b842fbb948dbcfb9276622e1dabde2ae7d67970713a8371a9b326641c77b302 +78f847d1933cc622262dcf551840edde459e0886c0016930162e30d045169a1f +74cfa809e57fd9b5ee0e0d31316bad12ee3e00143aab621cdd0b7d932a618d28 +8af7171de656cf9797dcb33b7c7b1ba3dcb387e17196aeab0bbffe1c1cae14f5 +c79e601482e3b15399dbcefa52d2a3708ee7d9b6634bdc91de804abf76583c5c +537db0ccc87a9ae011fd62ab2f21873eb50d938b65561827d84b951dd4f72d88 +f5ca76539bbbcf9dd9e7bb5d089b3227acc7c166fb367527046727876512878e +c4d802408395e7b19a62cb161680c28abe9cc2cf96c1b4f49cb5d34c13d6c4d4 +b9b78005841af6cc5f8899348472ca3dc7fee526569b6976f44f4835c869475c +3dff4e6e7b3178733db82489c34fe7809b56187de2a0569afbdee73ee87cc598 +7cee98f21482df95a85fe90d51f417bd1677ec0691063048f820c1449adf2b26 +70311ecbd86b0c724a4f01ddae853804431c3a3bdb8062661d7bca83820712ec +45022765f947f4c86825bec86de8af443b772156a6285e81a3c054928b856403 +a891fb8b1d170bcc5ed0ed817301725e31956c1511d072e8c16bf5c3abdd9b3d +8ee77318012aa25bb1a7fb30846d42e44345209a1df930a53d0011aa4bc6b705 + +.ram_data 19 19 +db0c2acb2dfaa6e2d8591c4cc5450d8105342301536f065f8a06ca76199c84a3 +913e193ace47ac490859abe9a25e8c0f8e5c00c6664b5851d650456235a10b71 +f0f2ab3510f9f96237b62eba40d8ab95bc1b6be2fe5d6e4992e241d3da657946 +becc0e033aa36eb9b0b515cac9a202a9f900f301846d32804c12a03e66fd2578 +a7305ada0478f0a0f92be30f2304383167e482d18d8b7c80cd478c92fc1d98e9 +ebaf9d7dd9259119a9c98915db88e6f3829e8be49b0729782d164a77122c6ee7 +e6a31a074149b0f9cc4be30924c82f474e483c62de26d025e02ca3f60e6413ad +ab19d94adcd065f9b72960bb3bfae1b0cf2b3bb2acd0becbeb7bc2a348ee1dcb +512351f8970213a0c686766ebd463c6c9b69b943f36824f290611b3f67fc7d3c +06778989b2b2e450afa03b016755edec1438d43f30c4c296eff6ae04e3b857d6 +fa7d5f9cd9180871f5c5b074d885c1b0c696d98030f88ebc6575e119a5987efa +6f2a61fb9a41eb2bb247f9769cecee6182ccbf9c2e5fa5417f5ae5b6c7a7067a +d37411b7a337b192c92765016a7aee08e17176963aa2143a6e6c1765b47a663d +942e1752384cd62cdc3a4d27c7412396114a2988018193d56a692eafb0514eaa +77e5e6a1fa46068db261fc4f5685d43dd3ef73111a7b8b9b5e8a144164e8c063 +d6b52f79b2b4b453868b0c498ebd98a7178b960235cb9abcda9e04a4cce15aed + +.ram_data 19 11 +ff2c5dc45fe3ac2a3f1cd2d2a9953a8c72800693887e22883c4e1006c3d8e28f +1f5b33aa367cdb5b2dcb0e3f3c52205944e8d7725a10e4bdd966ab05c2d319e5 +ec5cdd3bc3b4d942247e4acca6dd0c7f93adb25765702116690ccaad68017c9b +64377369907cf61bfe545f86e89ca70bd3955bed92089fda9616e7e4552fef0c +52d581976afb1574079ea81f71bbfb3598ed3e7e7684307fc0b17dd49135afae +299135eba62d42bec9f473f2b01928d0b2ed995e3bcb52babf6a41729b8646e9 +9630adc6f867c802090154ca0633910aaea89a2ce331f743d4c2d84d95380d74 +305ec1593aacd1ef1080b6cf8da4e2b13177923ef5a08d63f87e1682de6d4d82 +8471a83e3b65a7e37c3723f52b35756761c0e1f3f4ef2f50ba5d1ea06e05ae57 +421512fd7a4d68174d9dd8f13e41f82946568730c7ca4a872779af9b3edc3103 +2a93e5b470292ea73af96451572626e53c3f1225630515931a95aa48c1255421 +039e9aa8707d9a6c354ef2b0d3c9af04d467ea566d2404a3d0ae3862edec4cb6 +2b8b9fa1c9aec1c8166b9e7635a6528906d467487e0447a4fd32e08dc6e92a87 +38077893fd2b312c988841dbc979407a6da6b24379ef8e50d56175289ad4b502 +b8167011c2dcb6609cb3f58e2d02e2687cdfd24d6a341981cc7a78c053af0fd8 +924a65a9cf4ad8dda281499e86abcb94e8dcf3e0dbed4e31357cc5ae45a90c76 + +.ram_data 6 17 +dba5881722d6b1b0ed5992998ee19c670a53544484e5d72e9c7b9d6490c42ea2 +6a9be8cda840e7202c92279b33947dcbddfb96ebf519a4c9f6dc4ba7968081ec +c143a2daf675a6e95794c4697c41da3491b540f30ec1783e04b69f3ba02c6ba8 +73b7bae695bf94afda350fb0dc8b2f5061da464ef4ec58f5d036c9f5a16c56ac +2c57e5fa36dbe0f572ff4d53bef33b202e6b868d5438b48ea078efba26a8914d +8daaa6402f6f7ef2ff960e01e7d85b5a3be5fb32f09cfc7fdf244ca9b621eb4d +f5d95c303c0725e12a318efb3c7a472d9cfef2e421759db9d6afd1ec7241a69c +3d9ee6212874e329ac6f83efca197b7b574d1367ef5721c0280e1dc8ca1e5ced +801e1c62495ff1886ddbc3c0518546dc6a6d6e85a213a398e80733e59e94d246 +d2b272cfd914d11ca77b9314b8f88b77371deb167c50e1b60d6ed4d857320e35 +ddf3528472d0aae22bdb65bc0c7a2b090a1fd9a6b653131e3d5224af69fe5f99 +2850ad9a4e7989f7350eee311058c205db26ab949a15aad8a8644f69d0f439d4 +c21ad7f4cb669c11c6d7ac1e7bbc09391a672cdff09395c8ad5ec46efabdbd16 +ac1781ebb63ec58e4ac335063afd97e8e6a80a9ee686f81c7975bc2002ef6a22 +d5a484a853375ba57fb4f51986261ab2a109bec46a5db75451d6077eca8f8a75 +b8f0337f19770fea5df593d057e02f18aaf389dc2daf56887d2e5e7d1a81be27 + +.ram_data 6 13 +1a7cc79271263b802e09bf19625247344114d11f11f3fc3162d9585843e0624d +f673ff4fb0cc7a99ca3f36aea27e1bb3a7d71d34756a6e18076fc8da84c82bd4 +b64306042dfadfa0608b90f2788d19971799494bcb3957977c83da1ed41367ec +b00b95edfa9e5dd17588a0e406557d28f890a6ccb78242b6def27183d512ca60 +722926666acafe34e28ac37677a9b3dbe75627b4dcee26cd5d4e6044e105e5ec +47315cc5b09433507b15349732cdf75314dbd4e046875b5fab8eb5d211264a61 +89f9ca12bdb0281a0bc6eeb281f91be05b8f276e85bbed73e97a2215f0fe7a6a +cd0065194a2e71f9b47c45eab57518e73f58b7dadb05fe3cb709f5a77e947102 +aa7d21587d33c9b970773efc80db46ed4e6f98559cd3a97b44faee40ee10c4fb +54b12fba865d5409806421d0d02232db3581188ca86f068c996b74d066add04f +75bc866c9edffeac6b88b85bcfee8c43500f11ebbf2095472fcbeece46fc4ff5 +7800d6ad8f6a6ca44315276a3845e6349aa29a99bcd081bce1d96a357455dbdb +412f4009113f57cdbed75f417635a00c429a7dba911ba64873be7d21296eff7e +5cd7bf5fe03f8248175467d5760a1aaeea87027b1ddbc1ddaba40e89dc186515 +a8b3a1ffda46d9b0c65a1ace5889a4f9b3fd8fa03588a79e2cd17f4f84bc56ee +8fbf77ce6aee113f94c3781e37217c6fc01323b220c67b4bce43b87caf4464eb + +.ram_data 6 9 +6d0849bfdfc00836ce2882668830423d7c72e62ff07e5a847c20c66f02b55b53 +7ccac17797ebfeafcc531e57dc377673166cece5ab246fc5abd844d448c69f23 +b93985d3461674438d175dd0ad318ea30b4bb370c35ab1e5ad70db6fc43e474a +55084b0aa058621dc2c750b726e687461993a3c2d98567e79ee0b24c7d053f95 +6e48ea0a82ee5af732ecbdc9a72bf53abd8dc3cb3848af17d15c091ee35a83cd +7e37d0782e53ef830e81a49ea34117a80794be784121827697221fe7cd3bd995 +9eaf5e437f0a3bacc497b1a08f0991957d1f94738d23b90c9c87d0305edf12ff +372a36c97c3898b70049a2310a46b112de936f2b34989badbb518201aef5555e +a7189820c9bf44d70cd5e753c51c67f4533ef92f3c609086db9ed7bc9aaf5092 +f975e5b84bb626ea3079a612fc72f77b67ac4ae1e80ee55617e22ba92087f20d +6fb72b47206fb0227b807b01791b955aa13d9a82f05bb334d15579801c3240d6 +db62d1859063e8d518f72ccbbdb96a9e1a9aa8fd304abeb1aa004445ef002253 +31b2410e5aac64d0f410ad6d15a7e7ed4ef7b6ad1758a3c2c050f7107bc0660b +c0c3f2599190cd7d2beff28ff07932186338387ebdfe935aa621fb5db086beef +f1d33f685bfdd45b17e351d01cf7746f118d6ce08eb5fb3ca186d0799f23c314 +e15d00d6d504d466ea6c3f06f3cbb2413c11bdbe89851361089df0cd08fbab1f + +.ram_data 6 15 +9026fc4727fda1bdf5816123120cc21376727e15e5c8b47108bec6750e807c22 +d00d34f9fc63db22ea80f93b725c47c061ed55c43d2a79bf639152547ba97759 +17305f4dbea909b58b9f7cbedb4f6bcd325d35316b107cf293fc025dcc1151a7 +4e3d1fa23e055f83b26366834d899cdfb627511421c2cd51dd4d5a0c2dd888a7 +d305474621014ffaac4a59caf643f51fa96522b16518ac7ef5d0163d421ce342 +1b6175bedd0f98b8c31c2a2fcb6525c4e9ff2e5793ee7d61a5ce0b962b08a1ff +951b2b852a8af5f6f94229eb423d452f533f48cda838882d7c77b8d574b4143d +ae757bf23cb8d73d469ee0f430e04ec4964532b8bc2a786fd8d3e25be9282853 +7b04fcf17f9a9b3bbd5b7e55c0a6b1e292c073c023406bdc9ab2783ec138984c +6f84a3d73dc731105bc0a9300056afc386e8f894007437b345af9ee3bca56888 +06f072db7b02c2216d994ec53311c6fe7190f0ae18fe5aa69b8cdf05ba7bda04 +e2481f5354a04a6bc240241fa46e2780db0980138706582a49836e7462228f82 +ea05413c06bb84e48ff11ce3691de55ffcf30b859703fcbfb8eb1de550a7cc4b +67f6a163d94a48adda3aa6faeed0edd22925b05635caf89765eef1ad10d1aeb8 +0d97f55911aa656ff60cd6d3d5fa0db7291c7e25b46deca9be318481aa409711 +cac9eac4da42deafbf6b49ff47b732b4a6cdb0cafd7d0af9bf266fdfd3a19b91 + +.ram_data 19 21 +7b0c117a321f8c34c617f187dff86c83dfd66d18c6fce8fbea1930084404e38d +28800e4cc1a947728e2a66c7d1f12639493b16db97678c61c78820a91e7026dd +d2759d0a7e2de149fddb19226494a34bccd7619bae00d3f85d563591c8e75da4 +481d9d1dc85ec11c4925f717c1455d08707db043545b6ca4025782214d956827 +aa432ab74200edb44bd81866d4aa2694add00f8b4ca14da309171089f2b4e87f +0e65a3e6276ac017e4fd04cc3fff127de499df6b733206e843545391551a00b3 +0cb0b9ff3076c8f27f8130c102efee5ecf776bd9b460777695a93cf5bff70f51 +b17f333da813ce0190260e89752bdbd75271c06d6c2b4c1edaa965203293d011 +68378950019c9d4198f755b40e1a043308df153750a461470ba53150d866c157 +f107ae5319514d63008813d6f13714ff7b51431a3434e9bda2c4ca4e1d216799 +0ef160eb1b8d3985213e8a1d59f7a7c1e9963bc6a3ab7f4f23b01c8b96c3f6a7 +5669f9bb467882c30ff6778dd12d9d1949ac84fa6c5ecb7e740a52acc627620f +9db480f3c201325ab5644ff85a332152ce61528a02c111f152fcc50f8bed7acd +16342086474329254fc825a3f1ff5c7db83d322772a7b359f7971c1d98033b0b +5a49024c3e44703bd734a2dada2ee54d6f007346274ced004101521ad140743a +535cbcdec87c8caecf14ef06840c45ad12972f02d567b7898a6a921885b39abc + +.ram_data 19 9 +d4a05877f5b42569e80d0d85d217dfb0fb1d950761dce92d044a0b9bed19fa84 +951faca8bcb6c546bc855c52b4604558575eb67044b76db984a40794035d4331 +05dde104178b6c48f8bfb8a8a2e2296085c27ce3cc8555015c7412826c0f409e +51bafa1da850d4b18ff5f43d119f4e2c9fc00e61a8f814507a929812a4d2af0b +9996432240d0dca93ed4c57840a18d1f2183e2e7302d1936515196971ab1a940 +e3adc04c36b39036ef5884e1dcee23eeb17ea819ec21cb7d873ad568f230ef40 +3d7cb4a28ab4cfce4ffc9dc2f776d38725f3daf3ac77ca240c6a15ed59877da2 +6c459ddd89378b394db6e0359182dccddf40ad99e89bad00ba1aa33cd3723b82 +c77f8ed6438464d08db2cbc0d243cce1762f51619bc1e730012bc488d8653857 +3cc379116df911d932dcc51c0a68bbae288bf7576fdf503e06811b1acbb31809 +6f941b75d4b654ad82705a50ba7996d9fa6d1a1dade804bb2b943900bd9391e1 +726cd0942473ecd96b7a0a45675c6777af0b1d0c8228979e8d88f4db2725013e +56a20bc47037a380e2c2723f9628f23300e52b35d446c02a49d892f05bfe4c4f +6f7e94bdd399a088ea8a4f0ac07ffd951a501400605b62d33ccfc0daf60430ad +526a8543b475837879ffdedfaf5d583c02fd08dda15ee84320c47864a7a1b119 +061862d0c19f136187b5c4cd320598001ae4d4f2c5e110a064fb2e10767b8ed2 + +.ram_data 19 23 +25c11c72069abb104bfac110cffed1f94e6ee730b29d0aafa3d97c5119e317e7 +3582551118c5739a7ee1e705d68e9fd367d9e75b4dd18b618ac4fc3d39d78bad +8f1e14aa94f503f19239ec90848be82fc453ba567ff125259930272bdc4d4d22 +7037abc5d6b620d856cc64dbb0b50245fc2b8a9696dc13a06f26e35e32b36f88 +11098e1dbfb4244bf9f35f5bf7d066448027e4e866afe0df01e56bd902afece7 +ee55295d09986d4d3c66a38c4d899e0b75111b1a95d6ff997627fd11dfb28263 +5041242f557354470f07b88c2f3c55554161e7753ec17450eb509aa4df384991 +5251d6da8dd17f5645462a93c9fee10d3536bdb53599ccfdad97841e48383faf +1db4c8ade440485623c49e8bc9c8fd136b644487746828f7ae839ec70fb56876 +a70e05f0e77db384d1408736459163c76cfa3275b1e481d7cd046e3f46248391 +932fb45509873696580ebef8727a1142658cc076a6e9acc2479c534d4400ff9c +86b8056c721170b79e9e40e980aa9a17362d8424c789833f59d283d604833b53 +7b40b210c123faf4d22303e56c48661e6cd24c51419a09db5c027bcf4d47bad1 +8fa66e94cb8791490acb56c0cf10283cba6ed73cf5804c89b7fc2515f5975102 +0bd4898eb8ab7f44fdf5223373262a1f2d872074b38de2972cb4b5181fa1547b +f7934dd9cb7daf5f0f447c03796fcd705d9e4d33f7e518623ae44a500909307e + +.sym 9 clk +.sym 11 $PACKER_GND_NET_$glb_clk +.sym 14107 $0\memadr[10:0][2] +.sym 14108 $0\memadr[10:0][9] +.sym 16709 $0\memadr[10:0][7] +.sym 17081 $PACKER_VCC_NET +.sym 17349 led_r +.sym 17446 $0\memadr[10:0][1] +.sym 17692 $0\memadr[10:0][0] +.sym 17837 led_r +.sym 18334 led_r +.sym 18818 led_r +.sym 20051 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 20167 $abc$408$n42 +.sym 20304 $PACKER_VCC_NET +.sym 20426 $PACKER_VCC_NET +.sym 20539 $0\memadr[10:0][6] +.sym 21041 $PACKER_VCC_NET +.sym 21042 led_r +.sym 21155 $PACKER_VCC_NET +.sym 21294 $PACKER_VCC_NET +.sym 21410 $PACKER_VCC_NET +.sym 21532 $PACKER_VCC_NET +.sym 21780 $PACKER_VCC_NET +.sym 22410 $PACKER_GND_NET .sym 22667 led_r -.sym 22678 led_r +.sym 22682 led_r .sym 22848 clki -.sym 22908 clki -.sym 23997 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 24017 $0\memadr[10:0][4] -.sym 24018 $0\memadr[10:0][2] -.sym 24020 $0\memadr[10:0][7] -.sym 24023 $PACKER_GND_NET -.sym 24024 $0\memadr[10:0][1] -.sym 24025 $0\memadr[10:0][3] -.sym 24026 $0\memadr[10:0][5] -.sym 24028 $0\memadr[10:0][6] -.sym 24120 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 24133 $0\memadr[10:0][9] -.sym 24137 $0\memadr[10:0][8] -.sym 24146 $0\memadr[10:0][8] -.sym 24148 $0\memadr[10:0][10] -.sym 24243 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 24366 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 24378 $0\memadr[10:0][3] -.sym 24489 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 24512 $0\memadr[10:0][6] -.sym 24513 $0\memadr[10:0][4] -.sym 24514 $0\memadr[10:0][2] -.sym 24515 $PACKER_GND_NET -.sym 24516 $0\memadr[10:0][3] -.sym 24517 $0\memadr[10:0][2] -.sym 24518 $0\memadr[10:0][5] -.sym 24519 $0\memadr[10:0][7] -.sym 24520 $0\memadr[10:0][1] -.sym 24612 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 24620 $0\memadr[10:0][7] -.sym 24629 $0\memadr[10:0][8] -.sym 24640 $0\memadr[10:0][10] -.sym 24735 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 24777 $0\memadr[10:0][0] -.sym 24820 $0\memadr[10:0][0] -.sym 24852 clk -.sym 24858 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 24872 memadr[0] -.sym 24873 $0\memadr[10:0][0] -.sym 24981 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 25002 $0\memadr[10:0][0] -.sym 25003 $0\memadr[10:0][5] -.sym 25005 $0\memadr[10:0][7] -.sym 25006 $0\memadr[10:0][1] -.sym 25007 $PACKER_GND_NET -.sym 25008 $0\memadr[10:0][3] -.sym 25011 $0\memadr[10:0][4] -.sym 25012 $0\memadr[10:0][2] -.sym 25104 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 25112 $0\memadr[10:0][6] -.sym 25113 $0\memadr[10:0][0] -.sym 25114 $0\memadr[10:0][10] -.sym 25116 $0\memadr[10:0][1] -.sym 25117 $0\memadr[10:0][4] -.sym 25119 $0\memadr[10:0][8] -.sym 25121 $0\memadr[10:0][9] -.sym 25123 $0\memadr[10:0][7] -.sym 25129 $0\memadr[10:0][10] -.sym 25227 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 25235 $PACKER_VCC_NET -.sym 25243 $PACKER_GND_NET -.sym 25258 $0\memadr[10:0][10] -.sym 25350 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 25370 $0\memadr[10:0][2] -.sym 25371 $0\memadr[10:0][9] -.sym 25372 $0\memadr[10:0][5] -.sym 25376 $0\memadr[10:0][3] -.sym 25377 $0\memadr[10:0][7] -.sym 25379 $0\memadr[10:0][6] -.sym 25380 $0\memadr[10:0][4] -.sym 25473 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 25494 $0\memadr[10:0][0] -.sym 25495 $0\memadr[10:0][6] -.sym 25497 $0\memadr[10:0][7] -.sym 25498 $0\memadr[10:0][4] -.sym 25499 $PACKER_GND_NET -.sym 25500 $0\memadr[10:0][2] -.sym 25501 $0\memadr[10:0][1] -.sym 25502 $0\memadr[10:0][3] -.sym 25503 $0\memadr[10:0][5] -.sym 25596 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 25606 $0\memadr[10:0][1] -.sym 25610 $0\memadr[10:0][0] -.sym 25612 $0\memadr[10:0][8] -.sym 25622 $0\memadr[10:0][10] -.sym 25719 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 25735 $PACKER_GND_NET -.sym 25737 $PACKER_VCC_NET -.sym 25842 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 25991 $PACKER_GND_NET -.sym 25996 $PACKER_GND_NET -.sym 26352 $PACKER_GND_NET -.sym 26484 $PACKER_GND_NET +.sym 22906 $PACKER_GND_NET +.sym 23649 $0\memadr[10:0][8] +.sym 23652 $0\memadr[10:0][9] +.sym 23656 $PACKER_VCC_NET +.sym 23751 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 23874 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 23887 $0\memadr[10:0][1] +.sym 23897 $PACKER_GND_NET +.sym 23997 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 24019 $abc$408$n42 +.sym 24046 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 24054 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 24062 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 24097 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 24099 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 24100 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 24120 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 24145 $0\memadr[10:0][8] +.sym 24147 $PACKER_VCC_NET +.sym 24149 $PACKER_VCC_NET +.sym 24150 $PACKER_VCC_NET +.sym 24151 $0\memadr[10:0][9] +.sym 24243 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 24366 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 24370 $0\memadr[10:0][1] +.sym 24371 $0\memadr[10:0][5] +.sym 24378 $0\memadr[10:0][0] +.sym 24381 $0\memadr[10:0][3] +.sym 24388 $PACKER_GND_NET +.sym 24489 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 24506 $PACKER_GND_NET +.sym 24513 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 24516 $abc$408$n42 +.sym 24612 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 24634 $PACKER_VCC_NET +.sym 24636 $0\memadr[10:0][8] +.sym 24637 $0\memadr[10:0][9] +.sym 24641 $PACKER_VCC_NET +.sym 24735 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 24858 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 24868 $0\memadr[10:0][0] +.sym 24871 $0\memadr[10:0][1] +.sym 24881 $0\memadr[10:0][6] +.sym 24882 $0\memadr[10:0][9] +.sym 24883 $0\memadr[10:0][7] +.sym 24884 $0\memadr[10:0][8] +.sym 24885 $0\memadr[10:0][5] +.sym 24886 $0\memadr[10:0][2] +.sym 24887 $0\memadr[10:0][3] +.sym 24981 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 24985 $PACKER_GND_NET +.sym 24997 $PACKER_VCC_NET +.sym 25104 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 25130 $0\memadr[10:0][9] +.sym 25132 $PACKER_VCC_NET +.sym 25133 $0\memadr[10:0][8] +.sym 25227 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 25248 clki +.sym 25254 $PACKER_GND_NET +.sym 25255 $0\memadr[10:0][10] +.sym 25350 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 25363 $0\memadr[10:0][1] +.sym 25373 $0\memadr[10:0][5] +.sym 25374 $0\memadr[10:0][7] +.sym 25376 $0\memadr[10:0][8] +.sym 25378 $0\memadr[10:0][3] +.sym 25380 $PACKER_GND_NET +.sym 25381 $0\memadr[10:0][6] +.sym 25473 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 25481 $PACKER_VCC_NET +.sym 25596 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 25620 $PACKER_VCC_NET +.sym 25868 $PACKER_GND_NET +.sym 26099 $PACKER_GND_NET +.sym 26360 $PACKER_GND_NET .sym 26498 $PACKER_GND_NET -.sym 26507 $PACKER_GND_NET -.sym 26527 clki -.sym 26549 clki -.sym 27539 $PACKER_VCC_NET -.sym 27542 $PACKER_VCC_NET -.sym 27544 $PACKER_VCC_NET -.sym 27659 $0\memadr[10:0][9] +.sym 26518 $PACKER_GND_NET +.sym 26527 $PACKER_GND_NET +.sym 26551 $PACKER_GND_NET +.sym 26574 clki +.sym 27015 $PACKER_GND_NET +.sym 27229 $0\memadr[10:0][5] +.sym 27230 $0\memadr[10:0][6] +.sym 27232 $0\memadr[10:0][0] +.sym 27235 $0\memadr[10:0][3] +.sym 27237 $0\memadr[10:0][4] +.sym 27240 $0\memadr[10:0][7] +.sym 27332 $0\memadr[10:0][10] +.sym 27435 $PACKER_VCC_NET +.sym 27437 $0\memadr[10:0][1] +.sym 27438 $0\memadr[10:0][0] +.sym 27449 $0\memadr[10:0][9] +.sym 27453 $PACKER_VCC_NET +.sym 27454 $0\memadr[10:0][8] +.sym 27455 $0\memadr[10:0][1] +.sym 27456 $0\memadr[10:0][2] +.sym 27457 $0\memadr[10:0][6] +.sym 27458 $0\memadr[10:0][5] +.sym 27459 $0\memadr[10:0][0] +.sym 27460 $PACKER_VCC_NET +.sym 27464 $0\memadr[10:0][3] +.sym 27466 $0\memadr[10:0][4] +.sym 27467 $0\memadr[10:0][7] +.sym 27470 $0\memadr[10:0][10] +.sym 27497 $0\memadr[10:0][0] +.sym 27498 $0\memadr[10:0][1] +.sym 27499 $0\memadr[10:0][10] +.sym 27500 $0\memadr[10:0][2] +.sym 27501 $0\memadr[10:0][3] +.sym 27502 $0\memadr[10:0][4] +.sym 27503 $0\memadr[10:0][5] +.sym 27504 $0\memadr[10:0][6] +.sym 27505 $0\memadr[10:0][7] +.sym 27506 $0\memadr[10:0][8] +.sym 27507 $0\memadr[10:0][9] +.sym 27508 clk +.sym 27509 $PACKER_VCC_NET +.sym 27510 $PACKER_VCC_NET +.sym 27532 $0\memadr[10:0][2] +.sym 27555 $PACKER_VCC_NET +.sym 27569 $PACKER_GND_NET +.sym 27610 $PACKER_GND_NET_$glb_clk +.sym 27611 $PACKER_GND_NET +.sym 27620 $PACKER_VCC_NET +.sym 27625 $0\memadr[10:0][8] +.sym 27631 $PACKER_VCC_NET +.sym 27632 $0\memadr[10:0][9] +.sym 27637 $0\memadr[10:0][4] +.sym 27638 $0\memadr[10:0][6] +.sym 27640 $0\memadr[10:0][7] +.sym 27645 $0\memadr[10:0][2] +.sym 27647 $0\memadr[10:0][3] +.sym 27648 $0\memadr[10:0][5] +.sym 27653 $0\memadr[10:0][3] +.sym 27654 $0\memadr[10:0][4] +.sym 27655 $0\memadr[10:0][7] +.sym 27657 $0\memadr[10:0][9] +.sym 27661 $0\memadr[10:0][6] .sym 27663 $0\memadr[10:0][8] -.sym 27669 $0\memadr[10:0][5] -.sym 27670 $0\memadr[10:0][4] -.sym 27671 $0\memadr[10:0][7] -.sym 27672 $0\memadr[10:0][0] -.sym 27675 $0\memadr[10:0][1] -.sym 27677 $0\memadr[10:0][2] -.sym 27678 $0\memadr[10:0][3] -.sym 27679 $0\memadr[10:0][6] -.sym 27680 $PACKER_VCC_NET +.sym 27664 $PACKER_VCC_NET +.sym 27665 $0\memadr[10:0][0] +.sym 27666 $0\memadr[10:0][1] +.sym 27670 $0\memadr[10:0][2] +.sym 27671 $0\memadr[10:0][5] .sym 27681 $0\memadr[10:0][10] .sym 27682 $PACKER_VCC_NET .sym 27701 $0\memadr[10:0][0] @@ -15335,27 +15380,35 @@ .sym 27712 clk .sym 27713 $PACKER_VCC_NET .sym 27714 $PACKER_VCC_NET -.sym 27737 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 27739 $0\memadr[10:0][9] -.sym 27757 $PACKER_GND_NET -.sym 27768 $PACKER_VCC_NET +.sym 27726 clki +.sym 27731 $0\memadr[10:0][8] +.sym 27733 $0\memadr[10:0][9] +.sym 27744 $0\memadr[10:0][10] +.sym 27747 $0\memadr[10:0][10] +.sym 27766 $PACKER_GND_NET +.sym 27775 $PACKER_VCC_NET .sym 27814 $PACKER_GND_NET_$glb_clk .sym 27815 $PACKER_GND_NET .sym 27824 $PACKER_VCC_NET -.sym 27846 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 27859 $0\memadr[10:0][1] -.sym 27862 $0\memadr[10:0][4] -.sym 27864 $0\memadr[10:0][6] -.sym 27865 $0\memadr[10:0][2] -.sym 27866 $0\memadr[10:0][5] -.sym 27867 $0\memadr[10:0][8] -.sym 27868 $0\memadr[10:0][7] -.sym 27869 $0\memadr[10:0][10] -.sym 27872 $0\memadr[10:0][3] -.sym 27877 $0\memadr[10:0][9] -.sym 27881 $0\memadr[10:0][0] +.sym 27834 $PACKER_GND_NET +.sym 27840 $PACKER_GND_NET +.sym 27843 $PACKER_VCC_NET +.sym 27850 $PACKER_VCC_NET +.sym 27851 $0\memadr[10:0][0] +.sym 27852 $0\memadr[10:0][1] +.sym 27857 $0\memadr[10:0][3] +.sym 27858 $0\memadr[10:0][8] +.sym 27859 $0\memadr[10:0][5] +.sym 27863 $0\memadr[10:0][7] +.sym 27864 $0\memadr[10:0][0] +.sym 27865 $0\memadr[10:0][4] +.sym 27868 $0\memadr[10:0][1] +.sym 27869 $0\memadr[10:0][6] +.sym 27870 $PACKER_VCC_NET +.sym 27872 $0\memadr[10:0][9] +.sym 27874 $0\memadr[10:0][2] .sym 27884 $PACKER_VCC_NET -.sym 27886 $PACKER_VCC_NET +.sym 27885 $0\memadr[10:0][10] .sym 27905 $0\memadr[10:0][0] .sym 27906 $0\memadr[10:0][1] .sym 27907 $0\memadr[10:0][10] @@ -15370,38 +15423,38 @@ .sym 27916 clk .sym 27917 $PACKER_VCC_NET .sym 27918 $PACKER_VCC_NET -.sym 27932 $0\memadr[10:0][5] -.sym 27933 $0\memadr[10:0][1] -.sym 27934 $0\memadr[10:0][7] -.sym 27935 $PACKER_GND_NET -.sym 27938 $0\memadr[10:0][4] -.sym 27940 $0\memadr[10:0][6] -.sym 27941 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 27942 $0\memadr[10:0][3] -.sym 27947 $PACKER_VCC_NET +.sym 27927 $0\memadr[10:0][4] +.sym 27930 $0\memadr[10:0][4] +.sym 27944 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 27948 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] .sym 27950 $PACKER_VCC_NET -.sym 27952 $PACKER_VCC_NET -.sym 27972 $PACKER_VCC_NET -.sym 27977 $PACKER_GND_NET +.sym 27961 $PACKER_GND_NET +.sym 27988 $PACKER_VCC_NET +.sym 27993 $abc$408$n45_1 .sym 28018 $PACKER_GND_NET_$glb_clk .sym 28019 $PACKER_GND_NET .sym 28028 $PACKER_VCC_NET -.sym 28042 $0\memadr[10:0][8] -.sym 28049 $PACKER_VCC_NET -.sym 28050 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 28056 $PACKER_VCC_NET -.sym 28071 $0\memadr[10:0][8] -.sym 28074 $0\memadr[10:0][7] -.sym 28077 $0\memadr[10:0][5] +.sym 28035 $0\memadr[10:0][9] +.sym 28036 $0\memadr[10:0][8] +.sym 28046 $0\memadr[10:0][6] +.sym 28048 $0\memadr[10:0][4] +.sym 28049 $0\memadr[10:0][3] +.sym 28052 $0\memadr[10:0][6] +.sym 28053 $0\memadr[10:0][2] +.sym 28054 $0\memadr[10:0][7] +.sym 28056 $0\memadr[10:0][5] +.sym 28061 $0\memadr[10:0][9] +.sym 28062 $0\memadr[10:0][8] +.sym 28066 $0\memadr[10:0][10] +.sym 28067 $0\memadr[10:0][7] +.sym 28071 $0\memadr[10:0][4] +.sym 28072 $PACKER_VCC_NET +.sym 28073 $0\memadr[10:0][6] +.sym 28074 $0\memadr[10:0][3] +.sym 28076 $0\memadr[10:0][5] .sym 28078 $0\memadr[10:0][2] .sym 28079 $0\memadr[10:0][1] -.sym 28081 $0\memadr[10:0][9] -.sym 28082 $0\memadr[10:0][4] -.sym 28083 $0\memadr[10:0][3] -.sym 28085 $0\memadr[10:0][0] -.sym 28087 $0\memadr[10:0][6] -.sym 28088 $PACKER_VCC_NET -.sym 28089 $0\memadr[10:0][10] +.sym 28080 $0\memadr[10:0][0] .sym 28090 $PACKER_VCC_NET .sym 28109 $0\memadr[10:0][0] .sym 28110 $0\memadr[10:0][1] @@ -15417,31 +15470,47 @@ .sym 28120 clk .sym 28121 $PACKER_VCC_NET .sym 28122 $PACKER_VCC_NET -.sym 28145 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 28147 $0\memadr[10:0][9] -.sym 28151 $PACKER_VCC_NET -.sym 28154 $0\memadr[10:0][9] -.sym 28155 $0\memadr[10:0][8] -.sym 28165 $PACKER_GND_NET -.sym 28176 $PACKER_VCC_NET -.sym 28198 $abc$394$n41_1 +.sym 28135 $0\memadr[10:0][9] +.sym 28136 $0\memadr[10:0][8] +.sym 28141 $0\memadr[10:0][6] +.sym 28142 $0\memadr[10:0][10] +.sym 28143 $0\memadr[10:0][7] +.sym 28144 $0\memadr[10:0][5] +.sym 28152 $0\memadr[10:0][10] +.sym 28153 $0\memadr[10:0][10] +.sym 28155 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 28174 $PACKER_GND_NET +.sym 28192 $PACKER_VCC_NET +.sym 28195 $abc$408$n41_1 +.sym 28196 $abc$408$n40 +.sym 28198 $abc$408$n47_1 +.sym 28199 led_r .sym 28222 $PACKER_GND_NET_$glb_clk .sym 28223 $PACKER_GND_NET .sym 28232 $PACKER_VCC_NET -.sym 28254 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 28266 $0\memadr[10:0][2] -.sym 28267 $0\memadr[10:0][1] -.sym 28270 $0\memadr[10:0][4] -.sym 28271 $0\memadr[10:0][3] -.sym 28272 $0\memadr[10:0][6] -.sym 28273 $0\memadr[10:0][0] -.sym 28276 $0\memadr[10:0][5] -.sym 28277 $0\memadr[10:0][10] -.sym 28278 $PACKER_VCC_NET -.sym 28280 $0\memadr[10:0][7] -.sym 28283 $PACKER_VCC_NET -.sym 28292 $0\memadr[10:0][9] -.sym 28293 $0\memadr[10:0][8] +.sym 28237 $0\memadr[10:0][5] +.sym 28238 $0\memadr[10:0][8] +.sym 28240 $0\memadr[10:0][9] +.sym 28241 $0\memadr[10:0][6] +.sym 28243 $0\memadr[10:0][7] +.sym 28247 $0\memadr[10:0][3] +.sym 28248 $0\memadr[10:0][2] +.sym 28251 $PACKER_VCC_NET +.sym 28259 $0\memadr[10:0][0] +.sym 28271 $0\memadr[10:0][1] +.sym 28272 $0\memadr[10:0][0] +.sym 28273 $0\memadr[10:0][8] +.sym 28274 $0\memadr[10:0][9] +.sym 28275 $0\memadr[10:0][4] +.sym 28276 $PACKER_VCC_NET +.sym 28278 $0\memadr[10:0][3] +.sym 28279 $0\memadr[10:0][6] +.sym 28281 $0\memadr[10:0][7] +.sym 28282 $0\memadr[10:0][2] +.sym 28283 $0\memadr[10:0][5] +.sym 28285 $PACKER_VCC_NET +.sym 28291 $0\memadr[10:0][10] +.sym 28304 $PACKER_VCC_NET .sym 28313 $0\memadr[10:0][0] .sym 28314 $0\memadr[10:0][1] .sym 28315 $0\memadr[10:0][10] @@ -15456,42 +15525,39 @@ .sym 28324 clk .sym 28325 $PACKER_VCC_NET .sym 28326 $PACKER_VCC_NET -.sym 28339 $0\memadr[10:0][0] -.sym 28340 $0\memadr[10:0][2] -.sym 28341 $0\memadr[10:0][1] -.sym 28342 $0\memadr[10:0][7] -.sym 28343 $PACKER_GND_NET -.sym 28344 $0\memadr[10:0][5] -.sym 28345 $0\memadr[10:0][2] -.sym 28346 $0\memadr[10:0][4] -.sym 28347 $0\memadr[10:0][3] -.sym 28348 $0\memadr[10:0][7] -.sym 28350 $0\memadr[10:0][6] -.sym 28351 $PACKER_VCC_NET -.sym 28356 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 28341 $abc$408$n49_1 +.sym 28342 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 28343 $abc$408$n44 +.sym 28347 $abc$408$n42 +.sym 28355 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 28357 $0\memadr[10:0][4] .sym 28358 $PACKER_VCC_NET -.sym 28361 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 28359 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 28378 $PACKER_GND_NET .sym 28380 $PACKER_VCC_NET -.sym 28385 $PACKER_GND_NET -.sym 28404 $abc$394$n45_1 .sym 28426 $PACKER_GND_NET_$glb_clk .sym 28427 $PACKER_GND_NET .sym 28436 $PACKER_VCC_NET -.sym 28447 $0\memadr[10:0][10] -.sym 28458 $0\memadr[10:0][6] -.sym 28461 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 28471 $0\memadr[10:0][9] -.sym 28473 $0\memadr[10:0][7] -.sym 28474 $0\memadr[10:0][6] -.sym 28476 $0\memadr[10:0][10] -.sym 28477 $0\memadr[10:0][8] +.sym 28446 $PACKER_VCC_NET +.sym 28453 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 28454 $0\memadr[10:0][6] +.sym 28456 $abc$408$n48 +.sym 28457 $0\memadr[10:0][3] +.sym 28460 $0\memadr[10:0][2] +.sym 28462 $0\memadr[10:0][7] +.sym 28464 $0\memadr[10:0][5] +.sym 28469 $0\memadr[10:0][9] +.sym 28470 $0\memadr[10:0][10] +.sym 28476 $0\memadr[10:0][6] +.sym 28478 $0\memadr[10:0][7] +.sym 28479 $0\memadr[10:0][8] .sym 28480 $0\memadr[10:0][5] -.sym 28481 $0\memadr[10:0][0] -.sym 28483 $0\memadr[10:0][4] +.sym 28481 $0\memadr[10:0][2] +.sym 28482 $0\memadr[10:0][3] .sym 28484 $0\memadr[10:0][1] +.sym 28488 $0\memadr[10:0][0] .sym 28489 $PACKER_VCC_NET -.sym 28491 $0\memadr[10:0][3] -.sym 28495 $0\memadr[10:0][2] +.sym 28495 $0\memadr[10:0][4] .sym 28496 $PACKER_VCC_NET .sym 28517 $0\memadr[10:0][0] .sym 28518 $0\memadr[10:0][1] @@ -15507,38 +15573,36 @@ .sym 28528 clk .sym 28529 $PACKER_VCC_NET .sym 28530 $PACKER_VCC_NET -.sym 28546 $0\memadr[10:0][5] -.sym 28549 $0\memadr[10:0][10] -.sym 28555 $0\memadr[10:0][9] -.sym 28558 $0\memadr[10:0][8] -.sym 28561 $0\memadr[10:0][9] -.sym 28562 $PACKER_VCC_NET -.sym 28564 $PACKER_VCC_NET -.sym 28582 $PACKER_GND_NET -.sym 28584 $PACKER_VCC_NET +.sym 28543 $PACKER_GND_NET +.sym 28554 $0\memadr[10:0][10] +.sym 28561 $0\memadr[10:0][10] +.sym 28563 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 28573 $PACKER_GND_NET +.sym 28591 $PACKER_VCC_NET +.sym 28604 $abc$408$n48 .sym 28630 $PACKER_GND_NET_$glb_clk .sym 28631 $PACKER_GND_NET .sym 28640 $PACKER_VCC_NET -.sym 28645 $0\memadr[10:0][9] -.sym 28646 $0\memadr[10:0][2] -.sym 28652 $0\memadr[10:0][4] -.sym 28653 $0\memadr[10:0][7] -.sym 28654 $0\memadr[10:0][3] -.sym 28655 $0\memadr[10:0][6] -.sym 28656 $0\memadr[10:0][5] -.sym 28674 $0\memadr[10:0][10] -.sym 28676 $0\memadr[10:0][4] -.sym 28677 $0\memadr[10:0][1] -.sym 28679 $0\memadr[10:0][3] -.sym 28681 $0\memadr[10:0][0] -.sym 28683 $0\memadr[10:0][2] -.sym 28684 $0\memadr[10:0][5] -.sym 28685 $0\memadr[10:0][6] -.sym 28686 $0\memadr[10:0][7] -.sym 28693 $0\memadr[10:0][9] -.sym 28696 $0\memadr[10:0][8] +.sym 28647 $PACKER_GND_NET +.sym 28648 $0\memadr[10:0][7] +.sym 28650 $0\memadr[10:0][3] +.sym 28652 $PACKER_GND_NET +.sym 28659 $0\memadr[10:0][1] +.sym 28664 $0\memadr[10:0][0] +.sym 28665 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 28675 $0\memadr[10:0][9] +.sym 28677 $PACKER_VCC_NET +.sym 28678 $0\memadr[10:0][8] +.sym 28679 $0\memadr[10:0][1] +.sym 28680 $0\memadr[10:0][0] +.sym 28681 $0\memadr[10:0][6] +.sym 28686 $0\memadr[10:0][3] +.sym 28687 $0\memadr[10:0][2] +.sym 28689 $0\memadr[10:0][7] +.sym 28691 $0\memadr[10:0][5] +.sym 28697 $0\memadr[10:0][4] +.sym 28699 $0\memadr[10:0][10] .sym 28700 $PACKER_VCC_NET -.sym 28702 $PACKER_VCC_NET .sym 28721 $0\memadr[10:0][0] .sym 28722 $0\memadr[10:0][1] .sym 28723 $0\memadr[10:0][10] @@ -15553,34 +15617,25 @@ .sym 28732 clk .sym 28733 $PACKER_VCC_NET .sym 28734 $PACKER_VCC_NET -.sym 28752 $0\memadr[10:0][1] -.sym 28753 $0\memadr[10:0][4] -.sym 28754 $0\memadr[10:0][7] -.sym 28756 $PACKER_GND_NET -.sym 28757 $0\memadr[10:0][3] -.sym 28758 $0\memadr[10:0][6] .sym 28766 $PACKER_VCC_NET -.sym 28767 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 28768 $PACKER_VCC_NET -.sym 28793 $PACKER_GND_NET -.sym 28795 $PACKER_VCC_NET -.sym 28809 $abc$394$n46 +.sym 28767 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 28786 $PACKER_GND_NET +.sym 28788 $PACKER_VCC_NET .sym 28834 $PACKER_GND_NET_$glb_clk .sym 28835 $PACKER_GND_NET .sym 28844 $PACKER_VCC_NET -.sym 28872 $PACKER_VCC_NET -.sym 28878 $0\memadr[10:0][0] -.sym 28879 $0\memadr[10:0][3] -.sym 28880 $0\memadr[10:0][8] -.sym 28882 $0\memadr[10:0][6] -.sym 28883 $0\memadr[10:0][5] -.sym 28887 $0\memadr[10:0][10] -.sym 28888 $0\memadr[10:0][7] -.sym 28889 $0\memadr[10:0][2] -.sym 28890 $0\memadr[10:0][9] -.sym 28891 $0\memadr[10:0][4] -.sym 28892 $0\memadr[10:0][1] +.sym 28877 $0\memadr[10:0][7] +.sym 28878 $0\memadr[10:0][10] +.sym 28881 $0\memadr[10:0][3] +.sym 28884 $0\memadr[10:0][6] +.sym 28885 $0\memadr[10:0][2] +.sym 28886 $0\memadr[10:0][9] +.sym 28887 $0\memadr[10:0][8] +.sym 28888 $0\memadr[10:0][1] +.sym 28891 $0\memadr[10:0][0] +.sym 28892 $0\memadr[10:0][5] .sym 28904 $PACKER_VCC_NET +.sym 28905 $0\memadr[10:0][4] .sym 28906 $PACKER_VCC_NET .sym 28925 $0\memadr[10:0][0] .sym 28926 $0\memadr[10:0][1] @@ -15596,623 +15651,636 @@ .sym 28936 clk .sym 28937 $PACKER_VCC_NET .sym 28938 $PACKER_VCC_NET -.sym 28963 $PACKER_VCC_NET -.sym 28966 $0\memadr[10:0][8] -.sym 28967 $PACKER_VCC_NET -.sym 28971 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 28974 $0\memadr[10:0][9] +.sym 28951 clki .sym 28990 $PACKER_GND_NET -.sym 28992 $PACKER_VCC_NET +.sym 29008 $PACKER_VCC_NET .sym 29038 $PACKER_GND_NET_$glb_clk .sym 29039 $PACKER_GND_NET .sym 29048 $PACKER_VCC_NET -.sym 29081 $0\memadr[10:0][3] -.sym 29084 $0\memadr[10:0][6] -.sym 29089 $0\memadr[10:0][0] -.sym 29090 $0\memadr[10:0][1] -.sym 29091 $0\memadr[10:0][10] -.sym 29092 $0\memadr[10:0][5] -.sym 29093 $0\memadr[10:0][4] -.sym 29094 $0\memadr[10:0][7] -.sym 29095 $0\memadr[10:0][2] -.sym 29099 $PACKER_VCC_NET -.sym 29101 $PACKER_VCC_NET -.sym 29104 $0\memadr[10:0][8] -.sym 29112 $0\memadr[10:0][9] -.sym 29129 $0\memadr[10:0][0] -.sym 29130 $0\memadr[10:0][1] -.sym 29131 $0\memadr[10:0][10] -.sym 29132 $0\memadr[10:0][2] -.sym 29133 $0\memadr[10:0][3] -.sym 29134 $0\memadr[10:0][4] -.sym 29135 $0\memadr[10:0][5] -.sym 29136 $0\memadr[10:0][6] -.sym 29137 $0\memadr[10:0][7] -.sym 29138 $0\memadr[10:0][8] -.sym 29139 $0\memadr[10:0][9] -.sym 29140 clk -.sym 29141 $PACKER_VCC_NET -.sym 29142 $PACKER_VCC_NET -.sym 29157 $PACKER_GND_NET -.sym 29164 $PACKER_GND_NET -.sym 29165 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 29196 $PACKER_VCC_NET -.sym 29201 $PACKER_GND_NET -.sym 29242 $PACKER_GND_NET_$glb_clk -.sym 29243 $PACKER_GND_NET -.sym 29252 $PACKER_VCC_NET +.sym 29054 $PACKER_GND_NET .sym 29698 $PACKER_GND_NET .sym 29709 $PACKER_GND_NET -.sym 31287 $PACKER_VCC_NET -.sym 31289 $PACKER_VCC_NET -.sym 31290 $PACKER_VCC_NET -.sym 31296 $0\memadr[10:0][0] -.sym 31549 $0\memadr[10:0][0] -.sym 31766 $0\memadr[10:0][0] -.sym 31774 $PACKER_VCC_NET -.sym 31779 $PACKER_VCC_NET -.sym 31782 $PACKER_VCC_NET -.sym 31787 $0\memadr[10:0][0] +.sym 30665 $abc$408$n43_1 +.sym 30917 $0\memadr[10:0][0] +.sym 31052 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 31159 $0\memadr[10:0][1] +.sym 31165 $0\memadr[10:0][0] +.sym 31298 $PACKER_VCC_NET +.sym 31405 $0\memadr[10:0][6] +.sym 31407 $0\memadr[10:0][5] +.sym 31408 $0\memadr[10:0][3] +.sym 31409 $0\memadr[10:0][7] +.sym 31415 $0\memadr[10:0][4] +.sym 31416 $0\memadr[10:0][2] +.sym 31428 $PACKER_VCC_NET +.sym 31529 $0\memadr[10:0][10] +.sym 31534 $0\memadr[10:0][10] +.sym 31549 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 31565 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 31566 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 31569 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 31570 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 31602 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 31603 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 31604 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 31605 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 31654 $0\memadr[10:0][0] +.sym 31656 $PACKER_VCC_NET +.sym 31657 $abc$408$n45_1 +.sym 31658 $0\memadr[10:0][1] +.sym 31661 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 31783 $0\memadr[10:0][4] +.sym 31789 $PACKER_VCC_NET +.sym 31805 $abc$408$n48 +.sym 31806 $abc$408$n47_1 .sym 31807 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 31823 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 31831 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 31833 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 31854 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 31855 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 31856 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 31857 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 31903 $0\memadr[10:0][6] -.sym 31904 $PACKER_VCC_NET -.sym 31912 $abc$394$n41_1 -.sym 32021 $0\memadr[10:0][9] -.sym 32024 $PACKER_VCC_NET -.sym 32026 $0\memadr[10:0][8] -.sym 32027 $PACKER_VCC_NET -.sym 32030 $0\memadr[10:0][8] -.sym 32050 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 32053 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 32061 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 32074 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 32112 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 32113 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 32114 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 32115 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 32145 $abc$394$n45_1 -.sym 32274 $PACKER_VCC_NET -.sym 32276 $PACKER_VCC_NET -.sym 32282 $abc$394$n46 -.sym 32391 $PACKER_VCC_NET -.sym 32513 $PACKER_VCC_NET -.sym 32515 $PACKER_VCC_NET -.sym 32522 $0\memadr[10:0][8] -.sym 32545 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 32553 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 32561 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 32569 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 32586 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 32587 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 32588 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 32589 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 35617 $0\memadr[10:0][0] -.sym 35634 $PACKER_VCC_NET -.sym 35651 memadr[0] -.sym 35691 $PACKER_VCC_NET -.sym 35694 memadr[0] -.sym 35738 $0\memadr[10:0][0] -.sym 35869 $PACKER_GND_NET -.sym 35981 $abc$394$n46 -.sym 36101 $abc$394$n41_1 -.sym 36113 $0\memadr[10:0][1] -.sym 36114 $0\memadr[10:0][0] -.sym 36361 $PACKER_GND_NET -.sym 36849 $PACKER_GND_NET -.sym 36966 led_r -.sym 38826 $0\memadr[10:0][9] -.sym 38830 $0\memadr[10:0][8] -.sym 39075 $0\memadr[10:0][3] -.sym 39204 $0\memadr[10:0][3] -.sym 39205 $PACKER_GND_NET -.sym 39313 $0\memadr[10:0][7] -.sym 39316 $0\memadr[10:0][8] -.sym 39565 memadr[0] -.sym 39568 $PACKER_GND_NET -.sym 39696 $0\memadr[10:0][3] -.sym 39697 $PACKER_GND_NET -.sym 39698 $0\memadr[10:0][7] -.sym 39702 $0\memadr[10:0][6] -.sym 39704 $0\memadr[10:0][4] -.sym 39705 $0\memadr[10:0][1] -.sym 39805 $0\memadr[10:0][4] -.sym 39808 $0\memadr[10:0][1] -.sym 39809 $0\memadr[10:0][10] -.sym 39811 $0\memadr[10:0][6] -.sym 39812 $0\memadr[10:0][8] -.sym 39813 $0\memadr[10:0][7] -.sym 39814 $0\memadr[10:0][9] -.sym 39934 $PACKER_VCC_NET -.sym 39936 $PACKER_GND_NET -.sym 40189 $PACKER_GND_NET -.sym 40197 $PACKER_GND_NET -.sym 40305 $0\memadr[10:0][8] -.sym 40428 $PACKER_GND_NET -.sym 40430 $PACKER_VCC_NET -.sym 42662 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 42904 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 43030 $0\memadr[10:0][8] -.sym 43150 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 43391 $0\memadr[10:0][2] -.sym 43392 $0\memadr[10:0][1] -.sym 43393 $0\memadr[10:0][7] -.sym 43394 $PACKER_GND_NET -.sym 43395 $0\memadr[10:0][3] -.sym 43397 $0\memadr[10:0][4] -.sym 43399 $0\memadr[10:0][5] -.sym 43401 $0\memadr[10:0][6] -.sym 43514 $0\memadr[10:0][10] -.sym 43641 $0\memadr[10:0][5] -.sym 43646 $0\memadr[10:0][10] -.sym 43657 $0\memadr[10:0][8] -.sym 43761 $0\memadr[10:0][7] -.sym 43765 $0\memadr[10:0][9] -.sym 43766 $0\memadr[10:0][2] -.sym 43767 $0\memadr[10:0][5] -.sym 43768 $0\memadr[10:0][3] -.sym 43769 $0\memadr[10:0][6] -.sym 43770 $0\memadr[10:0][4] -.sym 44380 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 45273 $PACKER_GND_NET -.sym 45395 $PACKER_GND_NET -.sym 45887 $PACKER_GND_NET -.sym 46379 $PACKER_GND_NET -.sym 46611 $PACKER_VCC_NET -.sym 46617 $PACKER_VCC_NET -.sym 46875 $PACKER_GND_NET -.sym 47086 memadr[5] -.sym 47087 $0\memadr[10:0][1] -.sym 47091 $PACKER_GND_NET -.sym 47092 memadr[1] -.sym 47098 $PACKER_VCC_NET -.sym 47106 $PACKER_VCC_NET -.sym 47113 $PACKER_GND_NET -.sym 47225 $0\memadr[10:0][5] -.sym 47227 $0\memadr[10:0][6] -.sym 47228 $PACKER_VCC_NET -.sym 47230 $0\memadr[10:0][1] -.sym 47346 $0\memadr[10:0][9] -.sym 47348 $PACKER_VCC_NET -.sym 47354 $0\memadr[10:0][8] -.sym 47469 $abc$394$n45_1 -.sym 47590 $PACKER_VCC_NET -.sym 47595 $PACKER_VCC_NET -.sym 47715 $PACKER_VCC_NET -.sym 47837 $PACKER_VCC_NET -.sym 47846 $PACKER_VCC_NET -.sym 48094 led_r -.sym 48882 $PACKER_GND_NET -.sym 48906 $PACKER_GND_NET -.sym 50449 $0\memadr[10:0][8] -.sym 50451 $0\memadr[10:0][9] -.sym 50562 $PACKER_GND_NET -.sym 50697 $0\memadr[10:0][1] -.sym 50702 $0\memadr[10:0][3] -.sym 50794 memadr[4] -.sym 50799 memadr[7] -.sym 50919 $0\memadr[10:0][2] -.sym 50920 $0\memadr[10:0][3] -.sym 50921 $0\memadr[10:0][4] -.sym 50922 $0\memadr[10:0][5] -.sym 50923 $0\memadr[10:0][6] -.sym 50924 $0\memadr[10:0][7] -.sym 50942 $0\memadr[10:0][4] -.sym 50943 $PACKER_GND_NET -.sym 50945 $0\memadr[10:0][8] -.sym 50946 $0\memadr[10:0][6] -.sym 50947 $0\memadr[10:0][9] -.sym 50948 $0\memadr[10:0][7] -.sym 50949 $0\memadr[10:0][10] -.sym 50951 $0\memadr[10:0][1] -.sym 50959 $0\memadr[10:0][1] -.sym 50979 $0\memadr[10:0][5] -.sym 50983 memadr[0] -.sym 50988 memadr[1] -.sym 50992 $0\memadr[10:0][5] -.sym 50999 memadr[1] -.sym 51000 memadr[0] -.sym 51028 $0\memadr[10:0][1] -.sym 51038 clk -.sym 51040 $0\memadr[10:0][8] -.sym 51041 $0\memadr[10:0][9] -.sym 51042 $0\memadr[10:0][10] -.sym 51043 memadr[8] -.sym 51045 memadr[9] -.sym 51046 memadr[10] -.sym 51047 memadr[3] -.sym 51053 $0\memadr[10:0][6] -.sym 51054 $PACKER_GND_NET -.sym 51055 $0\memadr[10:0][3] -.sym 51056 $0\memadr[10:0][1] -.sym 51057 $0\memadr[10:0][7] -.sym 51062 $0\memadr[10:0][0] -.sym 51063 $0\memadr[10:0][2] -.sym 51071 $PACKER_GND_NET -.sym 51182 $0\memadr[10:0][8] -.sym 51184 $0\memadr[10:0][9] -.sym 51186 $0\memadr[10:0][10] -.sym 51302 $PACKER_GND_NET -.sym 51305 $abc$394$n46 -.sym 51425 $abc$394$n41_1 -.sym 51433 $0\memadr[10:0][8] -.sym 51563 $PACKER_GND_NET -.sym 51565 $PACKER_VCC_NET -.sym 51791 led_r -.sym 54146 $0\memadr[10:0][8] -.sym 54155 $0\memadr[10:0][9] -.sym 54284 $0\memadr[10:0][6] -.sym 54287 $0\memadr[10:0][5] -.sym 54288 $0\memadr[10:0][4] -.sym 54290 $0\memadr[10:0][10] -.sym 54401 $0\memadr[10:0][1] -.sym 54530 $0\memadr[10:0][2] -.sym 54532 $0\memadr[10:0][3] -.sym 54534 $0\memadr[10:0][4] -.sym 54536 $0\memadr[10:0][5] -.sym 54644 $0\memadr[10:0][4] -.sym 54649 $0\memadr[10:0][8] -.sym 54678 $0\memadr[10:0][4] -.sym 54681 $0\memadr[10:0][7] -.sym 54702 $0\memadr[10:0][4] -.sym 54732 $0\memadr[10:0][7] -.sym 54746 clk -.sym 54750 memadr[6] -.sym 54752 memadr[2] -.sym 54772 $0\memadr[10:0][4] -.sym 54774 $0\memadr[10:0][5] -.sym 54776 $0\memadr[10:0][6] -.sym 54777 $0\memadr[10:0][8] -.sym 54778 $0\memadr[10:0][7] -.sym 54779 $0\memadr[10:0][9] -.sym 54781 $0\memadr[10:0][10] -.sym 54789 memadr[4] -.sym 54793 memadr[0] -.sym 54794 memadr[7] -.sym 54797 memadr[5] -.sym 54803 memadr[1] -.sym 54804 memadr[3] -.sym 54815 memadr[6] -.sym 54817 memadr[2] -.sym 54821 $nextpnr_ICESTORM_LC_0$O -.sym 54824 memadr[0] -.sym 54827 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 54830 memadr[1] -.sym 54833 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 54835 memadr[2] -.sym 54837 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 54839 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 54841 memadr[3] -.sym 54843 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 54845 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 54848 memadr[4] -.sym 54849 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 54851 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 54854 memadr[5] -.sym 54855 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 54857 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 54859 memadr[6] -.sym 54861 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 54863 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 54866 memadr[7] -.sym 54867 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 54885 $0\memadr[10:0][5] -.sym 54889 memadr[0] -.sym 54891 $0\memadr[10:0][3] -.sym 54893 $0\memadr[10:0][4] -.sym 54896 $0\memadr[10:0][2] -.sym 54898 $0\memadr[10:0][3] -.sym 54900 $0\memadr[10:0][4] -.sym 54902 $0\memadr[10:0][5] -.sym 54904 $0\memadr[10:0][6] -.sym 54905 $0\memadr[10:0][9] -.sym 54906 $0\memadr[10:0][7] -.sym 54907 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 54918 memadr[10] -.sym 54920 $0\memadr[10:0][8] -.sym 54923 $0\memadr[10:0][3] -.sym 54930 $0\memadr[10:0][10] -.sym 54931 memadr[8] -.sym 54933 memadr[9] -.sym 54937 $0\memadr[10:0][9] -.sym 54944 $auto$alumacc.cc:474:replace_alu$167.C[9] -.sym 54946 memadr[8] -.sym 54948 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 54950 $auto$alumacc.cc:474:replace_alu$167.C[10] -.sym 54953 memadr[9] -.sym 54954 $auto$alumacc.cc:474:replace_alu$167.C[9] -.sym 54959 memadr[10] -.sym 54960 $auto$alumacc.cc:474:replace_alu$167.C[10] -.sym 54965 $0\memadr[10:0][8] -.sym 54978 $0\memadr[10:0][9] -.sym 54983 $0\memadr[10:0][10] -.sym 54990 $0\memadr[10:0][3] -.sym 54992 clk -.sym 54998 $abc$394$n44 -.sym 55019 $0\memadr[10:0][10] -.sym 55134 $PACKER_GND_NET -.sym 55262 $PACKER_VCC_NET -.sym 57978 $0\memadr[10:0][10] -.sym 57979 $0\memadr[10:0][4] -.sym 57982 $0\memadr[10:0][6] -.sym 57983 $0\memadr[10:0][5] -.sym 57985 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 58221 $0\memadr[10:0][3] -.sym 58223 $0\memadr[10:0][2] -.sym 58224 $0\memadr[10:0][5] -.sym 58225 $0\memadr[10:0][4] -.sym 58227 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 58235 $PACKER_VCC_NET -.sym 58362 $0\memadr[10:0][1] -.sym 58467 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 58468 $0\memadr[10:0][10] -.sym 58473 $0\memadr[10:0][8] -.sym 58474 $0\memadr[10:0][6] -.sym 58475 $0\memadr[10:0][9] -.sym 58476 $0\memadr[10:0][7] -.sym 58477 $0\memadr[10:0][5] -.sym 58629 $0\memadr[10:0][2] -.sym 58633 $0\memadr[10:0][6] -.sym 58665 $0\memadr[10:0][6] -.sym 58677 $0\memadr[10:0][2] -.sym 58699 clk -.sym 58725 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 58726 $PACKER_VCC_NET -.sym 58852 $PACKER_VCC_NET -.sym 58885 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 58886 $abc$394$n46 -.sym 58888 $abc$394$n45_1 -.sym 58922 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 58923 $abc$394$n46 -.sym 58925 $abc$394$n45_1 -.sym 58947 $PACKER_VCC_NET -.sym 58959 $0\memadr[10:0][9] -.sym 58960 $0\memadr[10:0][7] -.sym 58961 $0\memadr[10:0][8] -.sym 58963 $0\memadr[10:0][10] -.sym 58966 $0\memadr[10:0][6] -.sym 58967 $0\memadr[10:0][9] -.sym 58968 $0\memadr[10:0][4] -.sym 58969 $abc$394$n44 -.sym 58970 $0\memadr[10:0][5] -.sym 58980 $PACKER_VCC_NET -.sym 59089 $PACKER_VCC_NET -.sym 59213 $0\memadr[10:0][10] -.sym 59697 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 62051 $0\memadr[10:0][1] -.sym 62063 $PACKER_VCC_NET -.sym 62190 $0\memadr[10:0][3] -.sym 62192 $0\memadr[10:0][6] -.sym 62194 $0\memadr[10:0][7] -.sym 62195 $0\memadr[10:0][0] -.sym 62309 $0\memadr[10:0][9] -.sym 62311 $0\memadr[10:0][10] -.sym 62319 $0\memadr[10:0][8] -.sym 62420 $PACKER_VCC_NET -.sym 62427 $PACKER_VCC_NET -.sym 62545 $0\memadr[10:0][1] -.sym 62547 $0\memadr[10:0][5] -.sym 62555 $PACKER_VCC_NET -.sym 62684 $0\memadr[10:0][1] -.sym 62809 $PACKER_VCC_NET -.sym 62912 $PACKER_VCC_NET -.sym 62922 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 62933 led_r -.sym 63038 $PACKER_VCC_NET -.sym 63162 $PACKER_VCC_NET -.sym 65760 $PACKER_VCC_NET -.sym 65767 $PACKER_VCC_NET +.sym 31810 $abc$408$n44 +.sym 31811 $abc$408$n41_1 +.sym 31814 $abc$408$n42 +.sym 31815 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 31817 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 31818 $abc$408$n49_1 +.sym 31819 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 31823 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 31824 $abc$408$n43_1 +.sym 31827 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 31828 $abc$408$n40 +.sym 31831 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 31836 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 31837 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 31838 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 31839 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 31842 $abc$408$n43_1 +.sym 31843 $abc$408$n42 +.sym 31844 $abc$408$n41_1 +.sym 31854 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 31855 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 31856 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 31857 $abc$408$n48 +.sym 31860 $abc$408$n49_1 +.sym 31861 $abc$408$n44 +.sym 31862 $abc$408$n40 +.sym 31863 $abc$408$n47_1 +.sym 31883 clk +.sym 31897 $0\memadr[10:0][2] +.sym 31901 $abc$408$n48 +.sym 31915 $PACKER_VCC_NET +.sym 32043 $PACKER_VCC_NET +.sym 32140 $abc$408$n43_1 +.sym 32143 $0\memadr[10:0][0] +.sym 32148 $0\memadr[10:0][1] +.sym 32282 $PACKER_VCC_NET +.sym 32287 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 32296 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 32298 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 32299 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 32320 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 32334 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 32335 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 32336 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 32337 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 34887 $0\memadr[10:0][1] +.sym 35375 $0\memadr[10:0][0] +.sym 35382 $0\memadr[10:0][1] +.sym 35486 $PACKER_VCC_NET +.sym 35614 $PACKER_VCC_NET +.sym 35730 $PACKER_VCC_NET +.sym 35874 $0\memadr[10:0][1] +.sym 35984 $PACKER_VCC_NET +.sym 36098 $PACKER_VCC_NET +.sym 36226 $PACKER_VCC_NET +.sym 36476 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 38106 $PACKER_GND_NET +.sym 38590 $PACKER_GND_NET +.sym 39070 $0\memadr[10:0][0] +.sym 39071 $0\memadr[10:0][3] +.sym 39073 $0\memadr[10:0][1] +.sym 39087 $PACKER_GND_NET +.sym 39196 $PACKER_GND_NET +.sym 39575 $PACKER_GND_NET +.sym 40063 $PACKER_GND_NET +.sym 40436 clki +.sym 40792 $PACKER_GND_NET +.sym 40924 clki +.sym 42412 $0\memadr[10:0][2] +.sym 42529 $0\memadr[10:0][8] +.sym 42533 $0\memadr[10:0][9] +.sym 42653 $0\memadr[10:0][9] +.sym 42655 $0\memadr[10:0][8] +.sym 42775 $PACKER_GND_NET +.sym 42786 $PACKER_GND_NET +.sym 43023 $0\memadr[10:0][9] +.sym 43026 $0\memadr[10:0][8] +.sym 43039 $0\memadr[10:0][2] +.sym 43144 $0\memadr[10:0][9] +.sym 43148 $0\memadr[10:0][10] +.sym 43150 $0\memadr[10:0][6] +.sym 43151 $0\memadr[10:0][8] +.sym 43152 $0\memadr[10:0][7] +.sym 43153 $0\memadr[10:0][5] +.sym 43267 $0\memadr[10:0][5] +.sym 43268 $0\memadr[10:0][8] +.sym 43271 $0\memadr[10:0][6] +.sym 43272 $0\memadr[10:0][9] +.sym 43273 $0\memadr[10:0][7] +.sym 43275 $0\memadr[10:0][2] +.sym 43277 $0\memadr[10:0][3] +.sym 43281 $0\memadr[10:0][1] +.sym 43393 $abc$408$n44 +.sym 43394 $abc$408$n49_1 +.sym 43521 $PACKER_VCC_NET +.sym 43642 $PACKER_GND_NET +.sym 43647 $0\memadr[10:0][10] +.sym 43762 $0\memadr[10:0][7] +.sym 43764 $0\memadr[10:0][3] +.sym 45047 clki +.sym 46245 $0\memadr[10:0][0] +.sym 46489 $0\memadr[10:0][1] +.sym 46493 $0\memadr[10:0][0] +.sym 46730 $0\memadr[10:0][2] +.sym 46732 $0\memadr[10:0][7] +.sym 46734 $0\memadr[10:0][3] +.sym 46736 $0\memadr[10:0][4] +.sym 46738 $0\memadr[10:0][5] +.sym 46740 $0\memadr[10:0][6] +.sym 46853 $0\memadr[10:0][10] +.sym 46975 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 46978 $PACKER_VCC_NET +.sym 46981 $abc$408$n45_1 +.sym 46983 $PACKER_VCC_NET +.sym 46985 $0\memadr[10:0][0] +.sym 46986 $0\memadr[10:0][1] +.sym 47104 $0\memadr[10:0][4] +.sym 47473 $0\memadr[10:0][0] +.sym 50432 memadr[7] +.sym 50566 $0\memadr[10:0][6] +.sym 50568 $0\memadr[10:0][7] +.sym 50581 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 50672 $abc$408$n44 +.sym 50685 $0\memadr[10:0][9] +.sym 50693 $0\memadr[10:0][8] +.sym 50813 $PACKER_VCC_NET +.sym 50814 $abc$408$n46 +.sym 50931 $PACKER_VCC_NET +.sym 51053 $PACKER_VCC_NET +.sym 51054 $PACKER_VCC_NET +.sym 51304 $PACKER_VCC_NET +.sym 51422 $PACKER_VCC_NET +.sym 51544 $PACKER_VCC_NET +.sym 51550 $PACKER_VCC_NET +.sym 51797 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 52683 clki +.sym 52701 clki +.sym 54039 $0\memadr[10:0][2] +.sym 54135 memadr[5] +.sym 54137 memadr[3] +.sym 54158 $0\memadr[10:0][8] +.sym 54160 $0\memadr[10:0][9] +.sym 54258 $0\memadr[10:0][2] +.sym 54259 $0\memadr[10:0][3] +.sym 54260 $0\memadr[10:0][4] +.sym 54261 $0\memadr[10:0][5] +.sym 54262 $0\memadr[10:0][6] +.sym 54263 $0\memadr[10:0][7] +.sym 54283 $0\memadr[10:0][5] +.sym 54284 $0\memadr[10:0][8] +.sym 54285 $0\memadr[10:0][6] +.sym 54286 $0\memadr[10:0][9] +.sym 54287 $0\memadr[10:0][7] +.sym 54288 $0\memadr[10:0][10] +.sym 54328 $0\memadr[10:0][7] +.sym 54373 $0\memadr[10:0][7] +.sym 54377 clk +.sym 54379 $0\memadr[10:0][8] +.sym 54380 $0\memadr[10:0][9] +.sym 54381 $0\memadr[10:0][10] +.sym 54382 memadr[8] +.sym 54383 memadr[6] +.sym 54384 memadr[4] +.sym 54385 memadr[9] +.sym 54386 memadr[10] +.sym 54392 $0\memadr[10:0][6] +.sym 54394 $0\memadr[10:0][3] +.sym 54396 $0\memadr[10:0][7] +.sym 54397 $0\memadr[10:0][1] +.sym 54399 memadr[1] +.sym 54401 $0\memadr[10:0][0] +.sym 54403 $0\memadr[10:0][2] +.sym 54405 $0\memadr[10:0][3] +.sym 54409 $0\memadr[10:0][5] +.sym 54411 $0\memadr[10:0][6] +.sym 54412 $0\memadr[10:0][8] +.sym 54413 $0\memadr[10:0][7] +.sym 54414 $0\memadr[10:0][9] +.sym 54520 $PACKER_GND_NET +.sym 54536 $abc$408$n44 +.sym 54548 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 54554 $abc$408$n46 +.sym 54563 $abc$408$n45_1 +.sym 54583 $abc$408$n46 +.sym 54584 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 54585 $abc$408$n45_1 +.sym 54770 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 54780 $0\memadr[10:0][10] +.sym 54897 $0\memadr[10:0][3] +.sym 54905 $0\memadr[10:0][7] +.sym 56123 $PACKER_GND_NET +.sym 57865 $0\memadr[10:0][0] +.sym 57868 $0\memadr[10:0][2] +.sym 57870 $0\memadr[10:0][3] +.sym 57872 $0\memadr[10:0][4] +.sym 57874 $0\memadr[10:0][5] +.sym 57973 $0\memadr[10:0][9] +.sym 57974 $0\memadr[10:0][2] +.sym 57979 $0\memadr[10:0][10] +.sym 57982 $0\memadr[10:0][8] +.sym 57987 $0\memadr[10:0][8] +.sym 57988 $0\memadr[10:0][6] +.sym 57989 $0\memadr[10:0][9] +.sym 57990 $0\memadr[10:0][7] +.sym 57991 $0\memadr[10:0][10] +.sym 58015 $0\memadr[10:0][3] +.sym 58017 $0\memadr[10:0][5] +.sym 58051 $0\memadr[10:0][5] +.sym 58061 $0\memadr[10:0][3] +.sym 58084 clk +.sym 58086 $0\memadr[10:0][0] +.sym 58089 memadr[2] +.sym 58092 $0\memadr[10:0][1] +.sym 58093 memadr[0] +.sym 58109 $PACKER_GND_NET +.sym 58110 $0\memadr[10:0][4] +.sym 58111 $PACKER_VCC_NET +.sym 58112 $0\memadr[10:0][5] +.sym 58114 $0\memadr[10:0][6] +.sym 58115 $0\memadr[10:0][1] +.sym 58116 $0\memadr[10:0][7] +.sym 58117 $0\memadr[10:0][9] +.sym 58119 $0\memadr[10:0][0] +.sym 58131 memadr[6] +.sym 58137 memadr[5] +.sym 58138 memadr[1] +.sym 58139 memadr[3] +.sym 58140 memadr[4] +.sym 58142 memadr[7] +.sym 58150 memadr[0] +.sym 58154 memadr[2] +.sym 58159 $nextpnr_ICESTORM_LC_0$O +.sym 58161 memadr[0] +.sym 58165 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 58167 memadr[1] +.sym 58171 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 58174 memadr[2] +.sym 58175 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 58177 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 58180 memadr[3] +.sym 58181 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 58183 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 58186 memadr[4] +.sym 58187 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 58189 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 58192 memadr[5] +.sym 58193 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 58195 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 58198 memadr[6] +.sym 58199 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 58201 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 58203 memadr[7] +.sym 58205 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 58222 $0\memadr[10:0][1] +.sym 58223 $0\memadr[10:0][5] +.sym 58227 $0\memadr[10:0][2] +.sym 58228 $0\memadr[10:0][0] +.sym 58229 $0\memadr[10:0][3] +.sym 58231 $0\memadr[10:0][4] +.sym 58234 $0\memadr[10:0][2] +.sym 58236 $0\memadr[10:0][3] +.sym 58238 $0\memadr[10:0][4] +.sym 58240 $0\memadr[10:0][5] +.sym 58241 $0\memadr[10:0][8] +.sym 58242 $0\memadr[10:0][6] +.sym 58243 $0\memadr[10:0][9] +.sym 58244 $0\memadr[10:0][7] +.sym 58245 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 58252 $0\memadr[10:0][10] +.sym 58254 $0\memadr[10:0][4] +.sym 58256 $0\memadr[10:0][6] +.sym 58259 $0\memadr[10:0][9] +.sym 58261 memadr[8] +.sym 58266 $0\memadr[10:0][8] +.sym 58273 memadr[10] +.sym 58280 memadr[9] +.sym 58282 $auto$alumacc.cc:474:replace_alu$167.C[9] +.sym 58285 memadr[8] +.sym 58286 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 58288 $auto$alumacc.cc:474:replace_alu$167.C[10] +.sym 58291 memadr[9] +.sym 58292 $auto$alumacc.cc:474:replace_alu$167.C[9] +.sym 58296 memadr[10] +.sym 58298 $auto$alumacc.cc:474:replace_alu$167.C[10] +.sym 58304 $0\memadr[10:0][8] +.sym 58307 $0\memadr[10:0][6] +.sym 58314 $0\memadr[10:0][4] +.sym 58321 $0\memadr[10:0][9] +.sym 58328 $0\memadr[10:0][10] +.sym 58330 clk +.sym 58344 $0\memadr[10:0][8] +.sym 58348 $0\memadr[10:0][9] +.sym 58357 $0\memadr[10:0][10] +.sym 58470 $0\memadr[10:0][8] +.sym 58472 $0\memadr[10:0][10] +.sym 58612 $0\memadr[10:0][0] +.sym 58721 $abc$408$n49_1 +.sym 58847 $PACKER_VCC_NET +.sym 58960 $PACKER_GND_NET +.sym 59447 $0\memadr[10:0][2] +.sym 59448 $0\memadr[10:0][9] +.sym 61312 $0\memadr[10:0][1] +.sym 61558 $0\memadr[10:0][0] +.sym 61561 $0\memadr[10:0][2] +.sym 61562 $0\memadr[10:0][5] +.sym 61563 $0\memadr[10:0][4] +.sym 61568 $0\memadr[10:0][3] +.sym 61682 $0\memadr[10:0][6] +.sym 61686 $0\memadr[10:0][7] +.sym 61687 $0\memadr[10:0][9] +.sym 61689 $0\memadr[10:0][10] +.sym 61690 $0\memadr[10:0][8] +.sym 61694 $0\memadr[10:0][0] +.sym 61806 $0\memadr[10:0][4] +.sym 61807 $0\memadr[10:0][6] +.sym 61808 $0\memadr[10:0][9] +.sym 61810 $0\memadr[10:0][5] +.sym 61814 $0\memadr[10:0][7] +.sym 61818 $0\memadr[10:0][1] +.sym 61928 $0\memadr[10:0][2] +.sym 61932 $0\memadr[10:0][3] +.sym 61934 $PACKER_GND_NET +.sym 61944 $0\memadr[10:0][1] +.sym 61947 $abc$408$n46 +.sym 61948 $0\memadr[10:0][0] +.sym 61964 memadr[0] +.sym 61967 $0\memadr[10:0][2] +.sym 61972 memadr[1] +.sym 61973 $PACKER_VCC_NET +.sym 61981 $0\memadr[10:0][0] +.sym 61992 $PACKER_VCC_NET +.sym 61993 memadr[0] +.sym 62008 $0\memadr[10:0][2] +.sym 62028 memadr[1] +.sym 62029 memadr[0] +.sym 62034 $0\memadr[10:0][0] +.sym 62037 clk +.sym 62051 $0\memadr[10:0][10] +.sym 62060 memadr[1] +.sym 62072 $0\memadr[10:0][1] +.sym 62297 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 62298 $0\memadr[10:0][4] +.sym 62300 $PACKER_VCC_NET +.sym 62301 $PACKER_VCC_NET +.sym 62302 $0\memadr[10:0][5] +.sym 62304 $0\memadr[10:0][6] +.sym 62305 $0\memadr[10:0][9] +.sym 62306 $0\memadr[10:0][7] +.sym 62420 $0\memadr[10:0][5] +.sym 62422 $0\memadr[10:0][7] +.sym 62424 $0\memadr[10:0][3] +.sym 62425 $0\memadr[10:0][8] +.sym 62426 $0\memadr[10:0][4] +.sym 62427 $0\memadr[10:0][9] +.sym 62428 $0\memadr[10:0][2] +.sym 62430 $0\memadr[10:0][6] +.sym 62543 $0\memadr[10:0][10] +.sym 65391 $0\memadr[10:0][0] +.sym 65513 $0\memadr[10:0][1] +.sym 65630 $PACKER_GND_NET +.sym 65638 $0\memadr[10:0][1] +.sym 65644 $abc$408$n46 +.sym 65647 $0\memadr[10:0][0] +.sym 65653 $PACKER_GND_NET +.sym 65779 $PACKER_GND_NET .sym 65886 $0\memadr[10:0][7] -.sym 65889 $0\memadr[10:0][3] -.sym 65890 $PACKER_GND_NET -.sym 65891 $0\memadr[10:0][6] -.sym 65892 $0\memadr[10:0][0] +.sym 65888 $0\memadr[10:0][6] +.sym 65890 $0\memadr[10:0][7] .sym 66006 $0\memadr[10:0][9] +.sym 66007 $0\memadr[10:0][9] .sym 66008 $0\memadr[10:0][8] -.sym 66015 $0\memadr[10:0][10] +.sym 66015 $0\memadr[10:0][8] +.sym 66129 $PACKER_VCC_NET +.sym 66133 $0\memadr[10:0][0] +.sym 66139 $0\memadr[10:0][0] .sym 66252 $PACKER_VCC_NET -.sym 66259 $PACKER_VCC_NET -.sym 66374 $0\memadr[10:0][2] -.sym 66375 $PACKER_GND_NET -.sym 66376 $0\memadr[10:0][1] -.sym 66377 $0\memadr[10:0][0] -.sym 66378 $0\memadr[10:0][3] -.sym 66379 $0\memadr[10:0][7] -.sym 66380 $0\memadr[10:0][0] -.sym 66381 $0\memadr[10:0][2] -.sym 66382 $PACKER_GND_NET -.sym 66384 $0\memadr[10:0][0] -.sym 66385 $0\memadr[10:0][6] -.sym 66497 $0\memadr[10:0][10] -.sym 66499 $0\memadr[10:0][9] -.sym 66504 $0\memadr[10:0][8] -.sym 66506 $0\memadr[10:0][9] -.sym 66507 $0\memadr[10:0][8] -.sym 66508 $PACKER_VCC_NET -.sym 66518 $0\memadr[10:0][4] -.sym 66520 $0\memadr[10:0][5] -.sym 66622 $PACKER_GND_NET -.sym 66630 led_r +.sym 66255 $0\memadr[10:0][1] +.sym 66261 $0\memadr[10:0][1] +.sym 66271 $PACKER_GND_NET +.sym 66382 $PACKER_VCC_NET +.sym 66385 $PACKER_VCC_NET +.sym 66629 $PACKER_VCC_NET .sym 66744 $PACKER_VCC_NET -.sym 66747 $abc$394$n41_1 -.sym 66764 $PACKER_GND_NET -.sym 66875 $0\memadr[10:0][1] -.sym 66994 $PACKER_VCC_NET -.sym 67001 $0\memadr[10:0][5] -.sym 67010 $0\memadr[10:0][4] -.sym 67257 $PACKER_GND_NET -.sym 67745 $PACKER_GND_NET -.sym 69473 $0\memadr[10:0][8] -.sym 69477 $0\memadr[10:0][9] -.sym 69583 $abc$394$n48 -.sym 69605 $abc$394$n48 -.sym 69608 $0\memadr[10:0][7] -.sym 69611 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 69723 $0\memadr[10:0][1] -.sym 69825 $abc$394$n47_1 -.sym 69966 $0\memadr[10:0][4] -.sym 70097 $abc$394$n47_1 -.sym 70207 $0\memadr[10:0][5] -.sym 70208 $0\memadr[10:0][4] -.sym 70216 $0\memadr[10:0][3] -.sym 70316 led_r -.sym 70452 $abc$394$n40 -.sym 70586 $0\memadr[10:0][7] -.sym 70588 $0\memadr[10:0][8] -.sym 70589 $0\memadr[10:0][10] -.sym 70590 $0\memadr[10:0][6] -.sym 70592 $0\memadr[10:0][4] -.sym 70593 $abc$394$n49_1 -.sym 70594 $0\memadr[10:0][5] -.sym 70596 $0\memadr[10:0][9] -.sym 70699 $0\memadr[10:0][5] -.sym 70701 $0\memadr[10:0][4] -.sym 70811 $abc$394$n49_1 -.sym 70813 $abc$394$n50 -.sym 70833 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 70839 $0\memadr[10:0][10] -.sym 71198 $0\memadr[10:0][5] -.sym 71199 $0\memadr[10:0][4] +.sym 66756 $PACKER_GND_NET +.sym 66866 $PACKER_VCC_NET +.sym 66871 $PACKER_VCC_NET +.sym 66875 $PACKER_VCC_NET +.sym 67119 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 67249 $PACKER_GND_NET +.sym 67749 $PACKER_GND_NET +.sym 68851 $PACKER_GND_NET +.sym 69339 $abc$408$n46 +.sym 69483 $PACKER_GND_NET +.sym 69611 $PACKER_GND_NET +.sym 69716 $0\memadr[10:0][7] +.sym 69718 memadr[1] +.sym 69719 $0\memadr[10:0][6] +.sym 69733 $PACKER_GND_NET +.sym 69838 $PACKER_GND_NET +.sym 69848 $0\memadr[10:0][1] +.sym 69850 $0\memadr[10:0][5] +.sym 69853 $0\memadr[10:0][2] +.sym 69855 $0\memadr[10:0][3] +.sym 69857 $0\memadr[10:0][4] +.sym 69859 $0\memadr[10:0][0] +.sym 69971 $PACKER_GND_NET +.sym 69973 $PACKER_VCC_NET +.sym 69975 $PACKER_GND_NET +.sym 70082 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 70103 $PACKER_GND_NET +.sym 70218 $PACKER_GND_NET +.sym 70323 $abc$408$n43_1 +.sym 70346 $0\memadr[10:0][2] +.sym 70347 $0\memadr[10:0][3] +.sym 70348 $0\memadr[10:0][1] +.sym 70349 $0\memadr[10:0][4] +.sym 70350 $0\memadr[10:0][5] +.sym 70351 $0\memadr[10:0][0] +.sym 70463 $PACKER_GND_NET +.sym 70471 $PACKER_VCC_NET +.sym 70596 $PACKER_GND_NET +.sym 71088 $PACKER_GND_NET +.sym 71576 $PACKER_GND_NET .sym 71837 $PACKER_GND_NET -.sym 71861 $PACKER_GND_NET -.sym 71863 $PACKER_GND_NET -.sym 73167 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 73188 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 73194 $0\memadr[10:0][1] -.sym 73290 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 73300 $0\memadr[10:0][4] -.sym 73301 $0\memadr[10:0][10] -.sym 73307 $0\memadr[10:0][7] -.sym 73308 $0\memadr[10:0][6] -.sym 73309 $0\memadr[10:0][5] -.sym 73413 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 73437 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 73458 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 73462 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 73466 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 73470 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 73513 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 73514 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 73515 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 73516 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 73536 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 73546 $0\memadr[10:0][4] -.sym 73548 $0\memadr[10:0][5] -.sym 73549 $0\memadr[10:0][3] -.sym 73550 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 73552 $0\memadr[10:0][2] -.sym 73557 $PACKER_VCC_NET -.sym 73560 $PACKER_VCC_NET -.sym 73563 $PACKER_VCC_NET -.sym 73564 $PACKER_VCC_NET -.sym 73659 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 73684 $0\memadr[10:0][1] -.sym 73696 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 73706 $abc$394$n48 -.sym 73709 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 73724 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 73735 $abc$394$n48 -.sym 73736 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 73737 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 73738 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 73782 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 73790 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 73791 $0\memadr[10:0][6] -.sym 73794 $abc$394$n47_1 -.sym 73795 $0\memadr[10:0][7] -.sym 73796 $0\memadr[10:0][10] -.sym 73798 $0\memadr[10:0][9] +.sym 71857 $PACKER_GND_NET +.sym 72819 $0\memadr[10:0][8] +.sym 72822 $0\memadr[10:0][10] +.sym 72825 $0\memadr[10:0][6] +.sym 72826 $0\memadr[10:0][9] +.sym 72827 $0\memadr[10:0][7] +.sym 72921 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 72945 $PACKER_VCC_NET +.sym 72946 $PACKER_VCC_NET +.sym 72950 $PACKER_VCC_NET +.sym 72952 $PACKER_VCC_NET +.sym 73044 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 73071 $0\memadr[10:0][2] +.sym 73073 $0\memadr[10:0][3] +.sym 73075 $PACKER_GND_NET +.sym 73167 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 73216 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 73224 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 73232 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 73233 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 73279 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 73280 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 73281 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 73282 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 73290 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 73305 $0\memadr[10:0][10] +.sym 73308 $0\memadr[10:0][8] +.sym 73319 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 73413 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 73434 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 73436 $PACKER_VCC_NET +.sym 73437 $PACKER_VCC_NET +.sym 73442 $PACKER_VCC_NET +.sym 73536 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 73545 $0\memadr[10:0][0] +.sym 73546 $0\memadr[10:0][5] +.sym 73550 $0\memadr[10:0][1] +.sym 73552 $0\memadr[10:0][3] +.sym 73553 $0\memadr[10:0][2] +.sym 73554 $0\memadr[10:0][4] +.sym 73559 $0\memadr[10:0][3] +.sym 73561 $PACKER_GND_NET +.sym 73563 $0\memadr[10:0][2] +.sym 73659 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 73677 $PACKER_VCC_NET +.sym 73782 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 73795 $0\memadr[10:0][10] .sym 73799 $0\memadr[10:0][8] -.sym 73800 $0\memadr[10:0][5] -.sym 73905 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 74028 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 74049 $PACKER_VCC_NET -.sym 74055 $PACKER_VCC_NET -.sym 74151 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 74177 $0\memadr[10:0][5] -.sym 74182 $0\memadr[10:0][1] -.sym 74188 $abc$394$n44 -.sym 74190 $abc$394$n47_1 -.sym 74191 $abc$394$n49_1 -.sym 74200 $abc$394$n40 -.sym 74221 $abc$394$n47_1 -.sym 74222 $abc$394$n49_1 -.sym 74223 $abc$394$n44 -.sym 74224 $abc$394$n40 -.sym 74268 clk -.sym 74274 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 74285 $abc$394$n49_1 -.sym 74286 $0\memadr[10:0][10] -.sym 74287 $0\memadr[10:0][9] -.sym 74289 $0\memadr[10:0][6] -.sym 74290 $0\memadr[10:0][8] -.sym 74291 $0\memadr[10:0][4] -.sym 74292 $abc$394$n44 -.sym 74293 $0\memadr[10:0][7] -.sym 74397 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 74415 $PACKER_VCC_NET -.sym 74418 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 74520 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 74536 $0\memadr[10:0][10] -.sym 74541 $PACKER_VCC_NET -.sym 74544 $PACKER_VCC_NET -.sym 74643 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 74672 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 74688 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 74693 $abc$394$n50 -.sym 74696 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 74704 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 74708 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 74709 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 74731 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 74732 $abc$394$n50 -.sym 74734 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 74743 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 74744 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 74745 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 74766 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 74775 $0\memadr[10:0][7] -.sym 74777 $0\memadr[10:0][6] -.sym 74778 $0\memadr[10:0][10] -.sym 74779 $0\memadr[10:0][5] -.sym 74781 $0\memadr[10:0][9] -.sym 74783 $0\memadr[10:0][4] -.sym 74785 $0\memadr[10:0][8] -.sym 74792 $PACKER_VCC_NET -.sym 74795 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 74889 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 75012 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 75028 $0\memadr[10:0][10] -.sym 75036 $PACKER_VCC_NET +.sym 73905 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 73925 $0\memadr[10:0][4] +.sym 73928 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 74028 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 74036 $0\memadr[10:0][3] +.sym 74038 $0\memadr[10:0][2] +.sym 74042 $0\memadr[10:0][0] +.sym 74044 $abc$408$n49_1 +.sym 74045 $0\memadr[10:0][1] +.sym 74046 $0\memadr[10:0][4] +.sym 74047 $0\memadr[10:0][5] +.sym 74048 $0\memadr[10:0][6] +.sym 74049 $0\memadr[10:0][5] +.sym 74050 $0\memadr[10:0][7] +.sym 74051 $0\memadr[10:0][3] +.sym 74052 $0\memadr[10:0][9] +.sym 74053 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 74054 $PACKER_GND_NET +.sym 74056 $0\memadr[10:0][4] +.sym 74057 $0\memadr[10:0][8] +.sym 74058 $0\memadr[10:0][2] +.sym 74059 $0\memadr[10:0][7] +.sym 74151 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 74168 $PACKER_GND_NET +.sym 74170 $PACKER_VCC_NET +.sym 74172 $0\memadr[10:0][10] +.sym 74198 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 74213 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 74216 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 74217 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 74263 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 74264 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 74265 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 74266 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 74274 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 74303 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 74397 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 74413 $PACKER_GND_NET +.sym 74520 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 74528 $0\memadr[10:0][3] +.sym 74532 $0\memadr[10:0][4] +.sym 74534 $0\memadr[10:0][0] +.sym 74535 $0\memadr[10:0][5] +.sym 74537 $0\memadr[10:0][2] +.sym 74539 $0\memadr[10:0][1] +.sym 74540 $0\memadr[10:0][7] +.sym 74542 $0\memadr[10:0][5] +.sym 74543 $0\memadr[10:0][3] +.sym 74544 $0\memadr[10:0][4] +.sym 74545 $0\memadr[10:0][8] +.sym 74548 $0\memadr[10:0][6] +.sym 74549 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 74551 $PACKER_GND_NET +.sym 74643 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 74660 $PACKER_GND_NET +.sym 74662 $PACKER_VCC_NET +.sym 74664 $0\memadr[10:0][10] +.sym 74766 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] .sym 75668 $PACKER_GND_NET .sym 75671 $PACKER_GND_NET -.sym 75682 $PACKER_GND_NET -.sym 75690 $PACKER_GND_NET -.sym 76705 $PACKER_VCC_NET -.sym 76712 $PACKER_VCC_NET -.sym 76807 $0\memadr[10:0][2] -.sym 76814 $PACKER_GND_NET -.sym 76816 $0\memadr[10:0][0] -.sym 76817 $0\memadr[10:0][3] -.sym 76823 $0\memadr[10:0][3] -.sym 76824 $0\memadr[10:0][2] -.sym 76825 $0\memadr[10:0][7] -.sym 76826 $0\memadr[10:0][0] -.sym 76827 $0\memadr[10:0][5] -.sym 76828 $0\memadr[10:0][6] -.sym 76830 $0\memadr[10:0][4] -.sym 76837 $0\memadr[10:0][10] -.sym 76839 $0\memadr[10:0][9] -.sym 76843 $PACKER_VCC_NET -.sym 76845 $0\memadr[10:0][1] -.sym 76850 $PACKER_VCC_NET -.sym 76851 $0\memadr[10:0][8] +.sym 75684 $PACKER_GND_NET +.sym 75692 $PACKER_GND_NET +.sym 75694 $PACKER_GND_NET +.sym 76407 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 76508 $0\memadr[10:0][1] +.sym 76620 $0\memadr[10:0][2] +.sym 76621 $0\memadr[10:0][5] +.sym 76622 $0\memadr[10:0][6] +.sym 76623 $0\memadr[10:0][9] +.sym 76624 $0\memadr[10:0][8] +.sym 76627 $0\memadr[10:0][10] +.sym 76629 $0\memadr[10:0][4] +.sym 76632 $0\memadr[10:0][7] +.sym 76634 $0\memadr[10:0][3] +.sym 76637 $PACKER_VCC_NET +.sym 76646 $0\memadr[10:0][1] +.sym 76647 $0\memadr[10:0][0] +.sym 76648 $PACKER_VCC_NET +.sym 76667 $0\memadr[10:0][0] +.sym 76668 $0\memadr[10:0][1] +.sym 76669 $0\memadr[10:0][10] +.sym 76670 $0\memadr[10:0][2] +.sym 76671 $0\memadr[10:0][3] +.sym 76672 $0\memadr[10:0][4] +.sym 76673 $0\memadr[10:0][5] +.sym 76674 $0\memadr[10:0][6] +.sym 76675 $0\memadr[10:0][7] +.sym 76676 $0\memadr[10:0][8] +.sym 76677 $0\memadr[10:0][9] +.sym 76678 clk +.sym 76679 $PACKER_VCC_NET +.sym 76680 $PACKER_VCC_NET +.sym 76694 $0\memadr[10:0][2] +.sym 76695 $0\memadr[10:0][4] +.sym 76697 $0\memadr[10:0][5] +.sym 76702 $0\memadr[10:0][3] +.sym 76734 $PACKER_VCC_NET +.sym 76739 $PACKER_GND_NET +.sym 76780 $PACKER_GND_NET_$glb_clk +.sym 76781 $PACKER_GND_NET +.sym 76790 $PACKER_VCC_NET +.sym 76808 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 76824 $0\memadr[10:0][6] +.sym 76825 $PACKER_VCC_NET +.sym 76826 $0\memadr[10:0][2] +.sym 76827 $PACKER_VCC_NET +.sym 76828 $0\memadr[10:0][8] +.sym 76829 $0\memadr[10:0][5] +.sym 76831 $0\memadr[10:0][10] +.sym 76834 $0\memadr[10:0][9] +.sym 76835 $0\memadr[10:0][4] +.sym 76836 $0\memadr[10:0][3] +.sym 76838 $0\memadr[10:0][7] +.sym 76851 $0\memadr[10:0][0] +.sym 76854 $0\memadr[10:0][1] .sym 76871 $0\memadr[10:0][0] .sym 76872 $0\memadr[10:0][1] .sym 76873 $0\memadr[10:0][10] @@ -16227,27 +16295,33 @@ .sym 76882 clk .sym 76883 $PACKER_VCC_NET .sym 76884 $PACKER_VCC_NET +.sym 76898 $0\memadr[10:0][6] +.sym 76900 $0\memadr[10:0][9] +.sym 76901 $PACKER_VCC_NET +.sym 76903 $0\memadr[10:0][4] +.sym 76905 $0\memadr[10:0][5] +.sym 76906 $0\memadr[10:0][7] .sym 76909 $0\memadr[10:0][9] -.sym 76912 $0\memadr[10:0][10] -.sym 76919 $0\memadr[10:0][8] -.sym 76945 $PACKER_VCC_NET -.sym 76952 $PACKER_GND_NET +.sym 76913 $0\memadr[10:0][8] +.sym 76927 $PACKER_GND_NET +.sym 76954 $PACKER_VCC_NET +.sym 76960 memadr[1] .sym 76984 $PACKER_GND_NET_$glb_clk .sym 76985 $PACKER_GND_NET .sym 76994 $PACKER_VCC_NET -.sym 77030 $0\memadr[10:0][2] -.sym 77033 $0\memadr[10:0][3] -.sym 77034 $0\memadr[10:0][4] +.sym 77030 $0\memadr[10:0][10] +.sym 77032 $0\memadr[10:0][4] +.sym 77036 $0\memadr[10:0][1] +.sym 77037 $0\memadr[10:0][2] +.sym 77038 $0\memadr[10:0][3] +.sym 77039 $0\memadr[10:0][0] .sym 77042 $0\memadr[10:0][5] -.sym 77043 $0\memadr[10:0][1] +.sym 77045 $PACKER_VCC_NET .sym 77047 $0\memadr[10:0][9] -.sym 77048 $0\memadr[10:0][0] -.sym 77050 $0\memadr[10:0][10] -.sym 77053 $0\memadr[10:0][6] -.sym 77054 $PACKER_VCC_NET +.sym 77051 $0\memadr[10:0][8] +.sym 77054 $0\memadr[10:0][7] +.sym 77055 $0\memadr[10:0][6] .sym 77056 $PACKER_VCC_NET -.sym 77057 $0\memadr[10:0][8] -.sym 77058 $0\memadr[10:0][7] .sym 77075 $0\memadr[10:0][0] .sym 77076 $0\memadr[10:0][1] .sym 77077 $0\memadr[10:0][10] @@ -16262,30 +16336,31 @@ .sym 77086 clk .sym 77087 $PACKER_VCC_NET .sym 77088 $PACKER_VCC_NET -.sym 77113 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 77120 $PACKER_VCC_NET -.sym 77156 $PACKER_GND_NET -.sym 77158 $PACKER_VCC_NET +.sym 77104 memadr[1] +.sym 77106 $0\memadr[10:0][10] +.sym 77122 $0\memadr[10:0][1] +.sym 77142 $PACKER_VCC_NET +.sym 77147 $PACKER_GND_NET .sym 77188 $PACKER_GND_NET_$glb_clk .sym 77189 $PACKER_GND_NET .sym 77198 $PACKER_VCC_NET -.sym 77219 $0\memadr[10:0][2] -.sym 77222 $PACKER_GND_NET -.sym 77224 $0\memadr[10:0][3] -.sym 77225 $0\memadr[10:0][0] -.sym 77232 $0\memadr[10:0][10] -.sym 77235 $PACKER_VCC_NET -.sym 77236 $0\memadr[10:0][2] -.sym 77237 $0\memadr[10:0][7] +.sym 77216 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 77220 $0\memadr[10:0][6] +.sym 77221 $0\memadr[10:0][7] +.sym 77225 $0\memadr[10:0][7] +.sym 77232 $0\memadr[10:0][4] +.sym 77233 $PACKER_VCC_NET +.sym 77234 $0\memadr[10:0][2] +.sym 77236 $0\memadr[10:0][6] +.sym 77237 $0\memadr[10:0][5] +.sym 77240 $0\memadr[10:0][9] .sym 77241 $0\memadr[10:0][8] -.sym 77242 $0\memadr[10:0][9] -.sym 77243 $0\memadr[10:0][6] -.sym 77244 $0\memadr[10:0][5] -.sym 77247 $0\memadr[10:0][3] -.sym 77250 $0\memadr[10:0][0] -.sym 77251 $0\memadr[10:0][1] -.sym 77252 $0\memadr[10:0][4] -.sym 77258 $PACKER_VCC_NET +.sym 77242 $0\memadr[10:0][7] +.sym 77245 $0\memadr[10:0][10] +.sym 77246 $0\memadr[10:0][3] +.sym 77251 $PACKER_VCC_NET +.sym 77260 $0\memadr[10:0][1] +.sym 77261 $0\memadr[10:0][0] .sym 77279 $0\memadr[10:0][0] .sym 77280 $0\memadr[10:0][1] .sym 77281 $0\memadr[10:0][10] @@ -16300,29 +16375,41 @@ .sym 77290 clk .sym 77291 $PACKER_VCC_NET .sym 77292 $PACKER_VCC_NET +.sym 77306 $0\memadr[10:0][4] +.sym 77309 $PACKER_VCC_NET +.sym 77310 $0\memadr[10:0][7] +.sym 77312 $0\memadr[10:0][6] +.sym 77313 $0\memadr[10:0][5] +.sym 77315 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 77316 $0\memadr[10:0][9] .sym 77317 $0\memadr[10:0][9] -.sym 77318 $0\memadr[10:0][10] -.sym 77320 $0\memadr[10:0][8] +.sym 77319 $0\memadr[10:0][9] +.sym 77326 $0\memadr[10:0][8] +.sym 77327 $0\memadr[10:0][8] +.sym 77335 $PACKER_GND_NET .sym 77353 $PACKER_VCC_NET -.sym 77360 $PACKER_GND_NET -.sym 77369 $abc$394$n42 +.sym 77368 $abc$408$n50 +.sym 77372 $abc$408$n49_1 .sym 77392 $PACKER_GND_NET_$glb_clk .sym 77393 $PACKER_GND_NET .sym 77402 $PACKER_VCC_NET -.sym 77420 $abc$394$n42 -.sym 77453 $0\memadr[10:0][5] -.sym 77454 $0\memadr[10:0][4] -.sym 77455 $0\memadr[10:0][9] -.sym 77456 $0\memadr[10:0][10] -.sym 77457 $0\memadr[10:0][7] -.sym 77458 $0\memadr[10:0][8] -.sym 77459 $0\memadr[10:0][2] -.sym 77460 $0\memadr[10:0][3] +.sym 77409 $PACKER_GND_NET +.sym 77422 $0\memadr[10:0][0] +.sym 77427 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 77428 $0\memadr[10:0][0] +.sym 77436 $0\memadr[10:0][0] +.sym 77437 $0\memadr[10:0][1] +.sym 77439 $0\memadr[10:0][5] +.sym 77440 $0\memadr[10:0][4] +.sym 77442 $0\memadr[10:0][2] +.sym 77443 $0\memadr[10:0][10] +.sym 77447 $0\memadr[10:0][6] +.sym 77448 $0\memadr[10:0][3] +.sym 77450 $0\memadr[10:0][7] +.sym 77455 $PACKER_VCC_NET +.sym 77457 $0\memadr[10:0][9] .sym 77462 $PACKER_VCC_NET -.sym 77463 $0\memadr[10:0][6] -.sym 77464 $PACKER_VCC_NET -.sym 77465 $0\memadr[10:0][0] -.sym 77466 $0\memadr[10:0][1] +.sym 77465 $0\memadr[10:0][8] .sym 77483 $0\memadr[10:0][0] .sym 77484 $0\memadr[10:0][1] .sym 77485 $0\memadr[10:0][10] @@ -16337,38 +16424,31 @@ .sym 77494 clk .sym 77495 $PACKER_VCC_NET .sym 77496 $PACKER_VCC_NET -.sym 77524 $abc$394$n41_1 -.sym 77526 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 77529 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 77557 $PACKER_VCC_NET -.sym 77564 $PACKER_GND_NET -.sym 77571 $abc$394$n40 -.sym 77576 $abc$394$n43_1 +.sym 77509 $0\memadr[10:0][10] +.sym 77521 $PACKER_VCC_NET +.sym 77524 $0\memadr[10:0][1] +.sym 77530 $0\memadr[10:0][1] +.sym 77539 $PACKER_GND_NET +.sym 77541 $PACKER_VCC_NET .sym 77596 $PACKER_GND_NET_$glb_clk .sym 77597 $PACKER_GND_NET .sym 77606 $PACKER_VCC_NET -.sym 77623 $0\memadr[10:0][0] -.sym 77624 $0\memadr[10:0][2] -.sym 77625 $0\memadr[10:0][1] -.sym 77626 $0\memadr[10:0][6] -.sym 77629 $0\memadr[10:0][3] -.sym 77630 $PACKER_GND_NET -.sym 77631 $0\memadr[10:0][0] -.sym 77632 $0\memadr[10:0][7] -.sym 77634 $0\memadr[10:0][2] -.sym 77640 $0\memadr[10:0][2] -.sym 77642 $0\memadr[10:0][8] -.sym 77643 $0\memadr[10:0][7] -.sym 77645 $0\memadr[10:0][9] -.sym 77646 $0\memadr[10:0][10] -.sym 77647 $0\memadr[10:0][6] -.sym 77649 $0\memadr[10:0][4] -.sym 77650 $PACKER_VCC_NET -.sym 77652 $PACKER_VCC_NET +.sym 77628 $0\memadr[10:0][6] +.sym 77629 $0\memadr[10:0][7] +.sym 77631 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 77639 $0\memadr[10:0][9] +.sym 77640 $0\memadr[10:0][4] +.sym 77644 $0\memadr[10:0][8] +.sym 77645 $0\memadr[10:0][7] +.sym 77649 $0\memadr[10:0][0] +.sym 77651 $0\memadr[10:0][6] +.sym 77652 $0\memadr[10:0][5] +.sym 77653 $0\memadr[10:0][2] .sym 77654 $0\memadr[10:0][3] -.sym 77656 $0\memadr[10:0][0] -.sym 77657 $0\memadr[10:0][1] -.sym 77670 $0\memadr[10:0][5] +.sym 77657 $PACKER_VCC_NET +.sym 77659 $PACKER_VCC_NET +.sym 77663 $0\memadr[10:0][10] +.sym 77668 $0\memadr[10:0][1] .sym 77687 $0\memadr[10:0][0] .sym 77688 $0\memadr[10:0][1] .sym 77689 $0\memadr[10:0][10] @@ -16383,30 +16463,27 @@ .sym 77698 clk .sym 77699 $PACKER_VCC_NET .sym 77700 $PACKER_VCC_NET -.sym 77725 $0\memadr[10:0][8] -.sym 77729 $0\memadr[10:0][8] -.sym 77733 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 77736 $0\memadr[10:0][9] -.sym 77754 $PACKER_VCC_NET -.sym 77768 $PACKER_GND_NET +.sym 77730 $0\memadr[10:0][9] +.sym 77735 $0\memadr[10:0][8] +.sym 77752 $PACKER_GND_NET +.sym 77761 $PACKER_VCC_NET .sym 77800 $PACKER_GND_NET_$glb_clk .sym 77801 $PACKER_GND_NET .sym 77810 $PACKER_VCC_NET -.sym 77825 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 77829 $PACKER_GND_NET -.sym 77845 $PACKER_VCC_NET -.sym 77846 $0\memadr[10:0][10] -.sym 77851 $0\memadr[10:0][2] -.sym 77853 $0\memadr[10:0][6] -.sym 77854 $0\memadr[10:0][1] -.sym 77858 $0\memadr[10:0][3] -.sym 77859 $0\memadr[10:0][7] -.sym 77860 $0\memadr[10:0][0] -.sym 77861 $0\memadr[10:0][5] -.sym 77867 $0\memadr[10:0][8] -.sym 77869 $0\memadr[10:0][4] +.sym 77837 $0\memadr[10:0][0] +.sym 77843 $0\memadr[10:0][5] +.sym 77844 $0\memadr[10:0][0] +.sym 77847 $0\memadr[10:0][1] +.sym 77850 $0\memadr[10:0][4] +.sym 77851 $0\memadr[10:0][10] +.sym 77853 $0\memadr[10:0][2] +.sym 77855 $0\memadr[10:0][6] +.sym 77856 $0\memadr[10:0][3] +.sym 77858 $0\memadr[10:0][7] +.sym 77861 $PACKER_VCC_NET +.sym 77868 $0\memadr[10:0][9] .sym 77872 $PACKER_VCC_NET -.sym 77874 $0\memadr[10:0][9] +.sym 77873 $0\memadr[10:0][8] .sym 77891 $0\memadr[10:0][0] .sym 77892 $0\memadr[10:0][1] .sym 77893 $0\memadr[10:0][10] @@ -16421,36 +16498,28 @@ .sym 77902 clk .sym 77903 $PACKER_VCC_NET .sym 77904 $PACKER_VCC_NET -.sym 77921 $PACKER_VCC_NET -.sym 77936 $PACKER_VCC_NET -.sym 77937 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 77972 $PACKER_GND_NET -.sym 77974 $PACKER_VCC_NET +.sym 77940 $0\memadr[10:0][1] +.sym 77947 $PACKER_GND_NET +.sym 77949 $PACKER_VCC_NET .sym 78004 $PACKER_GND_NET_$glb_clk .sym 78005 $PACKER_GND_NET .sym 78014 $PACKER_VCC_NET -.sym 78031 $0\memadr[10:0][2] -.sym 78032 $0\memadr[10:0][2] -.sym 78033 $0\memadr[10:0][3] -.sym 78034 $0\memadr[10:0][6] -.sym 78036 $0\memadr[10:0][0] -.sym 78037 $0\memadr[10:0][1] -.sym 78038 $PACKER_GND_NET -.sym 78039 $0\memadr[10:0][0] -.sym 78040 $0\memadr[10:0][7] -.sym 78047 $0\memadr[10:0][9] -.sym 78048 $0\memadr[10:0][2] +.sym 78033 $PACKER_VCC_NET +.sym 78035 $PACKER_VCC_NET +.sym 78040 $PACKER_VCC_NET +.sym 78048 $0\memadr[10:0][8] +.sym 78049 $0\memadr[10:0][9] +.sym 78050 $0\memadr[10:0][2] .sym 78051 $0\memadr[10:0][7] .sym 78053 $0\memadr[10:0][5] -.sym 78054 $0\memadr[10:0][10] -.sym 78057 $0\memadr[10:0][4] -.sym 78058 $0\memadr[10:0][3] -.sym 78059 $0\memadr[10:0][8] +.sym 78055 $0\memadr[10:0][4] +.sym 78058 $PACKER_VCC_NET +.sym 78059 $0\memadr[10:0][6] .sym 78060 $PACKER_VCC_NET -.sym 78061 $0\memadr[10:0][6] -.sym 78062 $0\memadr[10:0][1] -.sym 78064 $0\memadr[10:0][0] -.sym 78074 $PACKER_VCC_NET +.sym 78062 $0\memadr[10:0][3] +.sym 78066 $0\memadr[10:0][0] +.sym 78071 $0\memadr[10:0][10] +.sym 78078 $0\memadr[10:0][1] .sym 78095 $0\memadr[10:0][0] .sym 78096 $0\memadr[10:0][1] .sym 78097 $0\memadr[10:0][10] @@ -16465,144 +16534,104 @@ .sym 78106 clk .sym 78107 $PACKER_VCC_NET .sym 78108 $PACKER_VCC_NET -.sym 78140 $0\memadr[10:0][9] -.sym 78141 $0\memadr[10:0][8] -.sym 78142 $PACKER_VCC_NET -.sym 78162 $PACKER_VCC_NET -.sym 78176 $PACKER_GND_NET +.sym 78151 $PACKER_GND_NET +.sym 78178 $PACKER_VCC_NET .sym 78208 $PACKER_GND_NET_$glb_clk .sym 78209 $PACKER_GND_NET .sym 78218 $PACKER_VCC_NET -.sym 78242 $PACKER_GND_NET -.sym 78253 $PACKER_VCC_NET -.sym 78254 $0\memadr[10:0][10] -.sym 78259 $0\memadr[10:0][2] -.sym 78261 $0\memadr[10:0][6] -.sym 78262 $0\memadr[10:0][3] -.sym 78263 $0\memadr[10:0][0] -.sym 78266 $0\memadr[10:0][1] -.sym 78267 $0\memadr[10:0][7] -.sym 78275 $0\memadr[10:0][4] -.sym 78278 $0\memadr[10:0][9] -.sym 78279 $0\memadr[10:0][8] -.sym 78280 $PACKER_VCC_NET -.sym 78282 $0\memadr[10:0][5] -.sym 78299 $0\memadr[10:0][0] -.sym 78300 $0\memadr[10:0][1] -.sym 78301 $0\memadr[10:0][10] -.sym 78302 $0\memadr[10:0][2] -.sym 78303 $0\memadr[10:0][3] -.sym 78304 $0\memadr[10:0][4] -.sym 78305 $0\memadr[10:0][5] -.sym 78306 $0\memadr[10:0][6] -.sym 78307 $0\memadr[10:0][7] -.sym 78308 $0\memadr[10:0][8] -.sym 78309 $0\memadr[10:0][9] -.sym 78310 clk -.sym 78311 $PACKER_VCC_NET -.sym 78312 $PACKER_VCC_NET -.sym 78380 $PACKER_GND_NET -.sym 78382 $PACKER_VCC_NET -.sym 78412 $PACKER_GND_NET_$glb_clk -.sym 78413 $PACKER_GND_NET -.sym 78422 $PACKER_VCC_NET .sym 78868 $PACKER_GND_NET -.sym 78886 $PACKER_GND_NET -.sym 80985 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 80993 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 81001 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 81030 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 81031 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 81033 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 81219 $abc$394$n42 -.sym 81220 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 81223 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 81226 $abc$394$n41_1 -.sym 81231 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 81234 $abc$394$n43_1 -.sym 81239 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 81264 $abc$394$n43_1 -.sym 81265 $abc$394$n41_1 -.sym 81266 $abc$394$n42 -.sym 81294 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 81295 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 81296 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 81297 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 107743 $0\memadr[10:0][0] -.sym 108707 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 108708 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 108709 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 108710 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 108779 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 108780 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 108781 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 108782 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 108895 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 108896 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 108897 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 108898 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 109672 $PACKER_VCC_NET -.sym 109673 memadr[0] -.sym 113495 $0\memadr[10:0][5] -.sym 113499 memadr[1] -.sym 113500 memadr[0] -.sym 113519 $0\memadr[10:0][1] -.sym 114423 $0\memadr[10:0][4] -.sym 114443 $0\memadr[10:0][7] -.sym 114456 memadr[0] -.sym 114461 memadr[1] -.sym 114465 memadr[2] -.sym 114466 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 114469 memadr[3] -.sym 114470 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 114473 memadr[4] -.sym 114474 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 114477 memadr[5] -.sym 114478 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 114481 memadr[6] -.sym 114482 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 114485 memadr[7] -.sym 114486 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 114489 memadr[8] -.sym 114490 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 114493 memadr[9] -.sym 114494 $auto$alumacc.cc:474:replace_alu$167.C[9] -.sym 114497 memadr[10] -.sym 114498 $auto$alumacc.cc:474:replace_alu$167.C[10] -.sym 114499 $0\memadr[10:0][8] -.sym 114507 $0\memadr[10:0][9] -.sym 114511 $0\memadr[10:0][10] -.sym 114515 $0\memadr[10:0][3] -.sym 115423 $0\memadr[10:0][6] -.sym 115431 $0\memadr[10:0][2] -.sym 115495 $abc$394$n45_1 -.sym 115496 $abc$394$n46 -.sym 115497 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 119147 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 119148 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 119149 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 119150 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 119195 $abc$394$n48 -.sym 119196 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 119197 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 119198 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 119319 $abc$394$n40 -.sym 119320 $abc$394$n44 -.sym 119321 $abc$394$n47_1 -.sym 119322 $abc$394$n49_1 -.sym 119459 $abc$394$n50 -.sym 119460 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 119461 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 119467 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 119468 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 119469 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 120231 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 120232 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 120233 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 120287 $abc$394$n41_1 -.sym 120288 $abc$394$n42 -.sym 120289 $abc$394$n43_1 -.sym 120307 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 120308 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 120309 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 120310 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 78890 $PACKER_GND_NET +.sym 80509 $0\memadr[10:0][1] +.sym 80532 $0\memadr[10:0][1] +.sym 80561 clk +.sym 80973 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 80975 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 80976 $abc$408$n50 +.sym 80985 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 80998 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 81001 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 81025 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 81026 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 81027 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 81048 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 81050 $abc$408$n50 +.sym 81051 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 81071 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 107563 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 107564 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 107565 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 108639 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 108640 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 108641 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 108642 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 108695 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 108696 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 108697 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 108698 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 108699 $abc$408$n41_1 +.sym 108700 $abc$408$n42 +.sym 108701 $abc$408$n43_1 +.sym 108707 $abc$408$n48 +.sym 108708 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 108709 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 108710 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 108711 $abc$408$n40 +.sym 108712 $abc$408$n44 +.sym 108713 $abc$408$n47_1 +.sym 108714 $abc$408$n49_1 +.sym 108827 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 108828 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 108829 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 108830 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 114355 $0\memadr[10:0][7] +.sym 114395 $abc$408$n45_1 +.sym 114396 $abc$408$n46 +.sym 114397 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 115263 $0\memadr[10:0][5] +.sym 115271 $0\memadr[10:0][3] +.sym 115288 memadr[0] +.sym 115293 memadr[1] +.sym 115297 memadr[2] +.sym 115298 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 115301 memadr[3] +.sym 115302 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 115305 memadr[4] +.sym 115306 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 115309 memadr[5] +.sym 115310 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 115313 memadr[6] +.sym 115314 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 115317 memadr[7] +.sym 115318 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 115321 memadr[8] +.sym 115322 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 115325 memadr[9] +.sym 115326 $auto$alumacc.cc:474:replace_alu$167.C[9] +.sym 115329 memadr[10] +.sym 115330 $auto$alumacc.cc:474:replace_alu$167.C[10] +.sym 115331 $0\memadr[10:0][8] +.sym 115335 $0\memadr[10:0][6] +.sym 115339 $0\memadr[10:0][4] +.sym 115343 $0\memadr[10:0][9] +.sym 115347 $0\memadr[10:0][10] +.sym 116248 $PACKER_VCC_NET +.sym 116249 memadr[0] +.sym 116259 $0\memadr[10:0][2] +.sym 116271 memadr[1] +.sym 116272 memadr[0] +.sym 116275 $0\memadr[10:0][0] +.sym 119091 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 119092 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 119093 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 119094 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 119347 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 119348 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 119349 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 119350 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 120099 $0\memadr[10:0][1] +.sym 120227 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 120228 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 120229 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 120243 $abc$408$n50 +.sym 120244 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 120245 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] diff --git a/memtest/.build/memtest.json b/memtest/.build/memtest.json index 9e12527..491b2e4 100644 --- a/memtest/.build/memtest.json +++ b/memtest/.build/memtest.json @@ -5,7 +5,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:667" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:667" }, "ports": { "I0": { @@ -60,77 +60,77 @@ "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } } } @@ -138,7 +138,7 @@ "SB_CARRY": { "attributes": { "blackbox": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" }, "ports": { "CO": { @@ -165,28 +165,28 @@ "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" } } } @@ -194,7 +194,7 @@ "SB_DFF": { "attributes": { "blackbox": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" }, "ports": { "Q": { @@ -217,21 +217,21 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" } } } @@ -240,7 +240,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" }, "ports": { "Q": { @@ -267,28 +267,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" } } } @@ -297,7 +297,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" }, "ports": { "Q": { @@ -328,35 +328,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } } } @@ -365,7 +365,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" }, "ports": { "Q": { @@ -396,35 +396,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } } } @@ -433,7 +433,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" }, "ports": { "Q": { @@ -464,35 +464,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } } } @@ -501,7 +501,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" }, "ports": { "Q": { @@ -532,35 +532,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } } } @@ -569,7 +569,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" }, "ports": { "Q": { @@ -592,21 +592,21 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" } } } @@ -615,7 +615,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" }, "ports": { "Q": { @@ -642,28 +642,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" } } } @@ -672,7 +672,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" }, "ports": { "Q": { @@ -703,35 +703,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } } } @@ -740,7 +740,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" }, "ports": { "Q": { @@ -771,35 +771,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } } } @@ -808,7 +808,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" }, "ports": { "Q": { @@ -839,35 +839,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } } } @@ -876,7 +876,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" }, "ports": { "Q": { @@ -907,35 +907,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } } } @@ -944,7 +944,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" }, "ports": { "Q": { @@ -971,28 +971,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" } } } @@ -1001,7 +1001,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" }, "ports": { "Q": { @@ -1028,28 +1028,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" } } } @@ -1058,7 +1058,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" }, "ports": { "Q": { @@ -1085,28 +1085,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" } } } @@ -1115,7 +1115,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" }, "ports": { "Q": { @@ -1142,28 +1142,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" } } } @@ -1172,7 +1172,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" }, "ports": { "Q": { @@ -1199,28 +1199,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" } } } @@ -1229,7 +1229,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" }, "ports": { "Q": { @@ -1256,28 +1256,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" } } } @@ -1286,7 +1286,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" }, "ports": { "Q": { @@ -1313,28 +1313,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" } } } @@ -1343,7 +1343,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" }, "ports": { "Q": { @@ -1370,28 +1370,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" } } } @@ -1400,7 +1400,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1138" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1138" }, "ports": { "FILTERIN": { @@ -1419,14 +1419,14 @@ "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1139" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1139" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1140" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1140" } } } @@ -1434,7 +1434,7 @@ "SB_GB": { "attributes": { "blackbox": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:112" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:112" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { @@ -1453,14 +1453,14 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:114" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:114" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:113" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:113" } } } @@ -1469,7 +1469,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:73" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:73" }, "ports": { "PACKAGE_PIN": { @@ -1524,77 +1524,77 @@ "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:77" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:77" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:83" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:83" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:84" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:84" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:81" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:81" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:82" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:82" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:75" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:75" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:78" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:78" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:76" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:76" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:79" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:79" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:80" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:80" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:74" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:74" } } } @@ -1603,7 +1603,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:981" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:981" }, "ports": { "CLKHFPU": { @@ -1626,21 +1626,21 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:984" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:984" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:983" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:983" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:982" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:982" } } } @@ -1649,7 +1649,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1015" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1015" }, "ports": { "SBCLKI": { @@ -1804,252 +1804,252 @@ "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1046" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1046" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1047" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1047" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1045" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1045" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1026" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1026" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1025" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1025" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1024" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1024" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1023" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1023" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1022" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1022" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1021" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1021" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1020" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1020" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1019" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1019" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1016" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1016" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1034" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1034" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1033" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1033" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1032" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1032" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1031" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1031" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1030" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1030" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1029" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1029" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1028" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1028" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1027" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1027" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1044" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1044" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1043" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1043" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1042" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1042" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1041" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1041" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1040" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1040" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1039" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1039" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1038" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1038" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1037" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1037" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1017" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1017" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1018" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1018" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1035" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1035" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1048" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1048" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1049" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1049" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1036" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1036" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1050" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1050" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1051" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1051" } } } @@ -2058,7 +2058,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:7" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:7" }, "ports": { "PACKAGE_PIN": { @@ -2109,70 +2109,70 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:10" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:10" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:16" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:16" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:17" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:17" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:14" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:14" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:15" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:15" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:11" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:11" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:9" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:9" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:12" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:12" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:13" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:13" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:8" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:8" } } } @@ -2181,7 +2181,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1144" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1144" }, "ports": { "PACKAGE_PIN": { @@ -2240,84 +2240,84 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1147" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1147" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1153" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1153" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1154" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1154" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1151" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1151" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1152" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1152" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1148" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1148" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1146" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1146" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1149" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1149" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1150" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1150" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1145" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1145" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1155" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1155" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1156" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1156" } } } @@ -2326,7 +2326,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1213" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1213" }, "ports": { "PACKAGEPIN": { @@ -2377,70 +2377,70 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1216" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1216" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1223" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1223" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1222" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1222" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1221" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1221" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1220" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1220" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1217" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1217" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1215" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1215" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1218" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1218" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1219" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1219" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1214" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1214" } } } @@ -2449,7 +2449,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1112" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1112" }, "ports": { "LEDDCS": { @@ -2544,147 +2544,147 @@ "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1126" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1126" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1125" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1125" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1124" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1124" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1123" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1123" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1114" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1114" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1113" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1113" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1122" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1122" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1121" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1121" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1120" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1120" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1119" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1119" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1118" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1118" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1117" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1117" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1116" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1116" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1115" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1115" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1127" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1127" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1128" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1128" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1133" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1133" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1129" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1129" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1130" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1130" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1131" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1131" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1132" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1132" } } } @@ -2693,7 +2693,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:990" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:990" }, "ports": { "CLKLFPU": { @@ -2716,21 +2716,21 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:993" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:993" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:992" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:992" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:991" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:991" } } } @@ -2738,7 +2738,7 @@ "SB_LUT4": { "attributes": { "blackbox": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" }, "ports": { "O": { @@ -2769,35 +2769,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } } } @@ -2806,7 +2806,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:891" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:891" }, "ports": { "CLK": { @@ -2925,189 +2925,189 @@ "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:895" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:895" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:913" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:913" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:917" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:917" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:909" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:909" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:908" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:908" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:898" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:898" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:896" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:896" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:899" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:899" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:894" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:894" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:893" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:893" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:900" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:900" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:912" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:912" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:892" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:892" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:916" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:916" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:897" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:897" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:901" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:901" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:903" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:903" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:902" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:902" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:915" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:915" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:911" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:911" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:910" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:910" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:907" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:907" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:906" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:906" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:905" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:905" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:904" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:904" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:914" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:914" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:918" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:918" } } } @@ -3116,7 +3116,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:810" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:810" }, "ports": { "REFERENCECLK": { @@ -3183,98 +3183,98 @@ "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:819" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:819" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:817" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:817" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:816" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:816" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:821" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:821" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:818" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:818" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:812" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:812" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:814" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:814" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:813" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:813" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:815" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:815" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:811" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:811" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:820" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:820" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:824" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:824" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:823" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:823" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:822" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:822" } } } @@ -3283,7 +3283,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:845" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:845" }, "ports": { "PACKAGEPIN": { @@ -3350,98 +3350,98 @@ "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:854" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:854" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:852" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:852" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:851" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:851" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:856" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:856" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:853" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:853" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:846" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:846" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:847" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:847" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:849" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:849" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:848" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:848" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:850" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:850" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:855" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:855" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:859" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:859" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:858" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:858" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:857" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:857" } } } @@ -3450,7 +3450,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:776" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:776" }, "ports": { "PACKAGEPIN": { @@ -3517,98 +3517,98 @@ "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:785" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:785" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:783" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:783" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:782" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:782" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:787" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:787" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:784" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:784" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:777" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:777" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:778" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:778" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:780" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:780" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:779" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:779" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:781" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:781" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:786" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:786" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:790" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:790" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:789" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:789" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:788" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:788" } } } @@ -3617,7 +3617,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:714" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:714" }, "ports": { "REFERENCECLK": { @@ -3676,84 +3676,84 @@ "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:721" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:721" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:719" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:719" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:718" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:718" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:723" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:723" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:720" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:720" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:716" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:716" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:717" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:717" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:715" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:715" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:722" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:722" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:726" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:726" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:725" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:725" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:724" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:724" } } } @@ -3762,7 +3762,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:745" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:745" }, "ports": { "PACKAGEPIN": { @@ -3821,84 +3821,84 @@ "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:752" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:752" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:750" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:750" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:749" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:749" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:754" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:754" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:751" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:751" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:746" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:746" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:747" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:747" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:748" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:748" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:753" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:753" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:757" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:757" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:756" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:756" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:755" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:755" } } } @@ -3906,7 +3906,7 @@ "SB_RAM40_4K": { "attributes": { "blackbox": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:297" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:297" }, "ports": { "RDATA": { @@ -3961,77 +3961,77 @@ "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:300" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:300" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:298" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:298" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:302" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:302" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" } } } @@ -4040,7 +4040,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:479" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:479" }, "ports": { "RDATA": { @@ -4095,77 +4095,77 @@ "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:482" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:482" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:480" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:480" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:484" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:484" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" } } } @@ -4174,7 +4174,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:603" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:603" }, "ports": { "RDATA": { @@ -4229,77 +4229,77 @@ "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:606" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:606" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:604" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:604" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:608" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:608" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" } } } @@ -4308,7 +4308,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:541" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:541" }, "ports": { "RDATA": { @@ -4363,77 +4363,77 @@ "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:544" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:544" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:542" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:542" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:546" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:546" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" } } } @@ -4442,7 +4442,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:998" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:998" }, "ports": { "CURREN": { @@ -4485,56 +4485,56 @@ "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:999" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:999" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1004" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1004" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1001" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1001" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1005" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1005" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1002" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1002" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1006" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1006" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1003" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1003" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1000" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1000" } } } @@ -4543,7 +4543,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1058" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1058" }, "ports": { "SBCLKI": { @@ -4746,336 +4746,336 @@ "hide_name": 0, "bits": [ 45 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1102" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1102" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1101" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1101" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1100" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1100" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1099" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1099" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1106" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1106" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1105" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1105" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1104" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1104" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1103" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1103" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1078" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1078" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1095" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1095" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1096" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1096" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1090" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1090" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1069" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1069" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1068" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1068" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1067" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1067" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1066" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1066" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1065" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1065" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1064" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1064" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1063" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1063" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1062" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1062" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1059" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1059" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1077" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1077" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1076" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1076" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1075" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1075" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1074" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1074" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1073" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1073" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1072" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1072" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1071" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1071" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1070" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1070" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1089" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1089" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1088" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1088" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1087" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1087" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1086" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1086" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1085" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1085" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1084" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1084" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1083" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1083" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1082" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1082" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1060" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1060" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1061" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1061" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1080" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1080" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1097" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1097" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1098" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1098" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1081" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1081" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1079" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1079" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1093" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1093" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1094" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1094" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1091" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1091" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1092" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1092" } } } @@ -5084,7 +5084,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:942" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:942" }, "ports": { "ADDRESS": { @@ -5135,70 +5135,70 @@ "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:943" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:943" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:944" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:944" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:947" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:947" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:945" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:945" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } } } @@ -5208,7 +5208,7 @@ "blackbox": 1, "keep": 1, "cells_not_processed": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:882" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:882" }, "ports": { "BOOT": { @@ -5231,21 +5231,21 @@ "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:883" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:883" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:885" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:885" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:884" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:884" } } } @@ -5298,7 +5298,7 @@ } }, "cells": { - "$abc$394$auto$blifparse.cc:492:parse_blif$395": { + "$abc$408$auto$blifparse.cc:492:parse_blif$409": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5306,7 +5306,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:44" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", @@ -5323,7 +5323,7 @@ "O": [ 8 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$396": { + "$abc$408$auto$blifparse.cc:492:parse_blif$410": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5331,7 +5331,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5348,7 +5348,7 @@ "O": [ 13 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$397": { + "$abc$408$auto$blifparse.cc:492:parse_blif$411": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5356,7 +5356,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5373,7 +5373,7 @@ "O": [ 9 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$398": { + "$abc$408$auto$blifparse.cc:492:parse_blif$412": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5381,7 +5381,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5398,7 +5398,7 @@ "O": [ 14 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$399": { + "$abc$408$auto$blifparse.cc:492:parse_blif$413": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5406,7 +5406,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5423,7 +5423,7 @@ "O": [ 15 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$400": { + "$abc$408$auto$blifparse.cc:492:parse_blif$414": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5431,7 +5431,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5448,7 +5448,7 @@ "O": [ 16 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$401": { + "$abc$408$auto$blifparse.cc:492:parse_blif$415": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5456,7 +5456,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5473,7 +5473,7 @@ "O": [ 10 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$402": { + "$abc$408$auto$blifparse.cc:492:parse_blif$416": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5481,7 +5481,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5498,7 +5498,7 @@ "O": [ 28 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$403": { + "$abc$408$auto$blifparse.cc:492:parse_blif$417": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5506,7 +5506,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5523,7 +5523,7 @@ "O": [ 29 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$404": { + "$abc$408$auto$blifparse.cc:492:parse_blif$418": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5531,7 +5531,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5548,7 +5548,7 @@ "O": [ 11 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$405": { + "$abc$408$auto$blifparse.cc:492:parse_blif$419": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5556,7 +5556,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5573,7 +5573,7 @@ "O": [ 39 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$406": { + "$abc$408$auto$blifparse.cc:492:parse_blif$420": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5581,7 +5581,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5598,7 +5598,7 @@ "O": [ 12 ] } }, - "$abc$394$auto$blifparse.cc:492:parse_blif$407": { + "$abc$408$auto$blifparse.cc:492:parse_blif$421": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5606,7 +5606,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5631,7 +5631,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5656,7 +5656,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5680,7 +5680,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5703,7 +5703,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5727,7 +5727,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5750,7 +5750,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5774,7 +5774,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5797,7 +5797,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5821,7 +5821,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5844,7 +5844,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5868,7 +5868,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5891,7 +5891,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5915,7 +5915,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5938,7 +5938,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5962,7 +5962,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5985,7 +5985,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -6009,7 +6009,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -6032,7 +6032,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -6056,7 +6056,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -6071,14 +6071,14 @@ "I1": [ 79 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$305": { + "$auto$simplemap.cc:420:simplemap_dff$319": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6091,14 +6091,14 @@ "Q": [ 2 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$306": { + "$auto$simplemap.cc:420:simplemap_dff$320": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6111,14 +6111,14 @@ "Q": [ 7 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$307": { + "$auto$simplemap.cc:420:simplemap_dff$321": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6131,14 +6131,14 @@ "Q": [ 6 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$308": { + "$auto$simplemap.cc:420:simplemap_dff$322": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6151,14 +6151,14 @@ "Q": [ 58 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$309": { + "$auto$simplemap.cc:420:simplemap_dff$323": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6171,14 +6171,14 @@ "Q": [ 61 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$310": { + "$auto$simplemap.cc:420:simplemap_dff$324": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6191,14 +6191,14 @@ "Q": [ 64 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$311": { + "$auto$simplemap.cc:420:simplemap_dff$325": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6211,14 +6211,14 @@ "Q": [ 67 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$312": { + "$auto$simplemap.cc:420:simplemap_dff$326": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6231,14 +6231,14 @@ "Q": [ 70 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$313": { + "$auto$simplemap.cc:420:simplemap_dff$327": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6251,14 +6251,14 @@ "Q": [ 73 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$314": { + "$auto$simplemap.cc:420:simplemap_dff$328": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6271,14 +6271,14 @@ "Q": [ 76 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$315": { + "$auto$simplemap.cc:420:simplemap_dff$329": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6291,14 +6291,14 @@ "Q": [ 79 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$316": { + "$auto$simplemap.cc:420:simplemap_dff$330": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6333,28 +6333,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111", + "INIT_1": "0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001", + "INIT_2": "0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000", + "INIT_3": "0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000", + "INIT_4": "0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000", + "INIT_5": "0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010", + "INIT_6": "0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001", + "INIT_7": "0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011", + "INIT_8": "1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001", + "INIT_9": "1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011", + "INIT_A": "1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010", + "INIT_B": "1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010", + "INIT_C": "1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101", + "INIT_D": "0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111", + "INIT_E": "1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111", + "INIT_F": "1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6387,28 +6387,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001", + "INIT_1": "0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010", + "INIT_2": "1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111", + "INIT_3": "1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010", + "INIT_4": "1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110", + "INIT_5": "0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100", + "INIT_6": "0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111", + "INIT_7": "1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100", + "INIT_8": "0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110", + "INIT_9": "1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000", + "INIT_A": "0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001", + "INIT_B": "1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000", + "INIT_C": "1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101", + "INIT_D": "1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011", + "INIT_E": "1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011", + "INIT_F": "1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6441,28 +6441,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1100010111000001000101101010000100100101001111011111010010000000000100001111111110000001100010001011001110010011011000001100110101111100110010110000101111001000111111000001001100100001110000010000011110111101111000110011001100001111100010011001100101111011", + "INIT_1": "0110100110111000100100011011010100010001110000000111111000101100011110010001111010101011010111010100101101110111110111000001011100000100000100111000111110100000000110000000010001100100000001110110010001100010111000101100101011000101011101010001001100110001", + "INIT_2": "1010011101100111000001111100110010110110110110011011000000001110011111000100100010111000101101111011110000101110010100001111001101111101110001010000100110110101000011111011011110111111111000010100010101101010100111011010000100111100100110001101111110000011", + "INIT_3": "0010011101111011111101111001101010001100100000100101011100000010110101000101011000000001110000110110010011011000101010110001100110111110001111111110011001100011111010110101110010100101010000010101011110111011010101111011101101001101111111100001011110001111", + "INIT_4": "0010010011011001001010011000011010010111100011111111101100000001101111110000101101000101101010110011100011001001000000111010110110010110001011011000001111100100010101010010111101101111010101001001010011001101000100110011111011110101001110001010101101100011", + "INIT_5": "1100100111001000001010111010110100011010110011010001100100010100011110000100111000110011111011000111111110111010100001110001111110011000010001000010101001010100000111010010101011101101101011101000111101101001110000000000111000011001001010110100000101000011", + "INIT_6": "0110101010100000010010111101110101110010001100010101010101000101000010011010010111111110001001110001000010011100000110011110010000001100101111101111100101111100111000110111110111000111101110010100000111000111100111100000010110001000001101101101010110101101", + "INIT_7": "1111100111100111100001011010100110010100110000111101110100001011001001010110011111010010001010001100110110000000110101100101010000101011100110101100110100011111000100011101010111000101111100000101010011010101110000110010111010001100100010100110101101101100", + "INIT_8": "1011100010110000101011010101001110010011111110110111111000100011111100010011111100111101111110100111111000101011111000000111111111111101101101101001011111110110101011001110111011111101111100111100110000101011011000100110011010000001110010001111100111010101", + "INIT_9": "1101010011010100110010010111001111110110110011101101010110111110111011011000100001110001110011010001000110000001100011110010101001000110111100111000101110111101000111011111100111110101011110010011001100100011001111110000100100111110101101001101001100101110", + "INIT_A": "1100001111011101101001010011110011101000011000111000100011100000110011001100111110101111011100110110110111111110111100001110101001011111000001101001000101100101100101101001111111101001111100110100111101100001110001111111010010010011001110011100011000101010", + "INIT_B": "1110111011000110111101101000011110011001010000001011011101010011000001111111000000011011110001111001001100011000010101101001010001111001001101010101110010101000010000110011010111100101001101100010011110010101100110101011010101100101111011011111010111101000", + "INIT_C": "0111111010111110010010110111111011101110101110100000000010001010010001101111001010101010000001011001010100100001011001011110000110001111100001110110111011000101001101001100100010001100110111011001111001001010000100110100110000111001100110110001111000100010", + "INIT_D": "0010001011010000110001111111110001101011110100100001001011100100001110101110000101101101111101000010101000001001001011101110000110110111111001100111100010010000110111000101010101001010011000101111101111001110110010011010100100111001001111101010111111010111", + "INIT_E": "1000100100011011010000101011110111101000101101101110000000011011010111001110110100110000101000111111100111100110101010010100101100100010111111100001110111111101011101011110110100010010010010000000101101001110000110001100101000100011011101100000100111001100", + "INIT_F": "1100001011001011010111011100000101111101010001001111111100111110011000111111000011001101001111100010101100010011111001010001000010100110010000101010111010110111001000100010111110010110011001010101101000001011001111010000001000001011101101000110111011011000", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6495,28 +6495,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011", + "INIT_1": "1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011", + "INIT_2": "1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101", + "INIT_3": "0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111", + "INIT_4": "1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111", + "INIT_5": "0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110", + "INIT_6": "0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110", + "INIT_7": "0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101", + "INIT_8": "0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010", + "INIT_9": "1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101", + "INIT_A": "0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000", + "INIT_B": "1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000", + "INIT_C": "0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010", + "INIT_D": "1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001", + "INIT_E": "0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011", + "INIT_F": "1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6549,28 +6549,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100", + "INIT_1": "1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110", + "INIT_2": "0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010", + "INIT_3": "1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100", + "INIT_4": "1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000", + "INIT_5": "0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000", + "INIT_6": "1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001", + "INIT_7": "1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101", + "INIT_8": "0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101", + "INIT_9": "0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110", + "INIT_A": "0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000", + "INIT_B": "1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001", + "INIT_C": "1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101", + "INIT_D": "0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100", + "INIT_E": "0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100", + "INIT_F": "0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6603,28 +6603,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011", + "INIT_1": "1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011", + "INIT_2": "0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110", + "INIT_3": "0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101", + "INIT_4": "0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110", + "INIT_5": "0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111", + "INIT_6": "0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000", + "INIT_7": "1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000", + "INIT_8": "0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100", + "INIT_9": "1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110", + "INIT_A": "1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010", + "INIT_B": "0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001", + "INIT_C": "0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110", + "INIT_D": "1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011", + "INIT_E": "1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101", + "INIT_F": "0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6657,28 +6657,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001", + "INIT_1": "0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010", + "INIT_2": "0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111", + "INIT_3": "0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000", + "INIT_4": "1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101", + "INIT_5": "1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100", + "INIT_6": "0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000", + "INIT_7": "1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110", + "INIT_8": "1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100", + "INIT_9": "1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100", + "INIT_A": "0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000", + "INIT_B": "0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110", + "INIT_C": "0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100", + "INIT_D": "0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011", + "INIT_E": "1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101", + "INIT_F": "1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6711,28 +6711,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011", + "INIT_1": "1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001", + "INIT_2": "1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110", + "INIT_3": "1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000", + "INIT_4": "1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001", + "INIT_5": "1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111", + "INIT_6": "1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101", + "INIT_7": "1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011", + "INIT_8": "0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100", + "INIT_9": "0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110", + "INIT_A": "1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010", + "INIT_B": "0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010", + "INIT_C": "1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101", + "INIT_D": "1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010", + "INIT_E": "0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011", + "INIT_F": "1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6765,28 +6765,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111", + "INIT_1": "0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101", + "INIT_2": "1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011", + "INIT_3": "0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100", + "INIT_4": "0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110", + "INIT_5": "0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001", + "INIT_6": "1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100", + "INIT_7": "0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010", + "INIT_8": "1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111", + "INIT_9": "0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011", + "INIT_A": "0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001", + "INIT_B": "0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110", + "INIT_C": "0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111", + "INIT_D": "0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010", + "INIT_E": "1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000", + "INIT_F": "1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6819,28 +6819,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010", + "INIT_1": "0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100", + "INIT_2": "1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000", + "INIT_3": "0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100", + "INIT_4": "0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101", + "INIT_5": "1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101", + "INIT_6": "1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100", + "INIT_7": "0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101", + "INIT_8": "1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110", + "INIT_9": "1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101", + "INIT_A": "1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001", + "INIT_B": "0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100", + "INIT_C": "1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110", + "INIT_D": "1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010", + "INIT_E": "1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101", + "INIT_F": "1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6873,28 +6873,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101", + "INIT_1": "1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100", + "INIT_2": "1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100", + "INIT_3": "1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000", + "INIT_4": "0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100", + "INIT_5": "0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001", + "INIT_6": "1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010", + "INIT_7": "1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010", + "INIT_8": "1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011", + "INIT_9": "0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111", + "INIT_A": "0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101", + "INIT_B": "0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011", + "INIT_C": "0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110", + "INIT_D": "0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101", + "INIT_E": "1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110", + "INIT_F": "1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6927,28 +6927,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011", + "INIT_1": "0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011", + "INIT_2": "1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010", + "INIT_3": "0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101", + "INIT_4": "0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101", + "INIT_5": "0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101", + "INIT_6": "1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111", + "INIT_7": "0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110", + "INIT_8": "1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010", + "INIT_9": "1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101", + "INIT_A": "0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110", + "INIT_B": "1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011", + "INIT_C": "0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011", + "INIT_D": "1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111", + "INIT_E": "1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100", + "INIT_F": "1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6981,28 +6981,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010", + "INIT_1": "1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001", + "INIT_2": "0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111", + "INIT_3": "0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111", + "INIT_4": "1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010", + "INIT_5": "0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111", + "INIT_6": "1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101", + "INIT_7": "1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011", + "INIT_8": "0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100", + "INIT_9": "0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000", + "INIT_A": "0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100", + "INIT_B": "1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010", + "INIT_C": "1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011", + "INIT_D": "0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000", + "INIT_E": "0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001", + "INIT_F": "1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -7035,28 +7035,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101", + "INIT_1": "0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101", + "INIT_2": "1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100", + "INIT_3": "0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111", + "INIT_4": "1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111", + "INIT_5": "0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011", + "INIT_6": "0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001", + "INIT_7": "1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001", + "INIT_8": "0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111", + "INIT_9": "1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001", + "INIT_A": "0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111", + "INIT_B": "0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111", + "INIT_C": "1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101", + "INIT_D": "0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011", + "INIT_E": "0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010", + "INIT_F": "0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -7089,28 +7089,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100", + "INIT_1": "1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001", + "INIT_2": "0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110", + "INIT_3": "0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011", + "INIT_4": "1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000", + "INIT_5": "1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000", + "INIT_6": "0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010", + "INIT_7": "0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010", + "INIT_8": "1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111", + "INIT_9": "0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001", + "INIT_A": "0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001", + "INIT_B": "0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110", + "INIT_C": "0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111", + "INIT_D": "0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101", + "INIT_E": "0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001", + "INIT_F": "0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -7143,28 +7143,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0": "0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111", + "INIT_1": "0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101", + "INIT_2": "1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010", + "INIT_3": "0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000", + "INIT_4": "0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111", + "INIT_5": "1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011", + "INIT_6": "0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001", + "INIT_7": "0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111", + "INIT_8": "0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110", + "INIT_9": "1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001", + "INIT_A": "1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100", + "INIT_B": "1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011", + "INIT_C": "0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001", + "INIT_D": "1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010", + "INIT_E": "0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011", + "INIT_F": "1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -7216,67 +7216,67 @@ "src": "memtest.v:29" } }, - "$abc$394$n40": { + "$abc$408$n40": { "hide_name": 1, "bits": [ 9 ], "attributes": { } }, - "$abc$394$n41_1": { + "$abc$408$n41_1": { "hide_name": 1, "bits": [ 14 ], "attributes": { } }, - "$abc$394$n42": { + "$abc$408$n42": { "hide_name": 1, "bits": [ 15 ], "attributes": { } }, - "$abc$394$n43_1": { + "$abc$408$n43_1": { "hide_name": 1, "bits": [ 16 ], "attributes": { } }, - "$abc$394$n44": { + "$abc$408$n44": { "hide_name": 1, "bits": [ 10 ], "attributes": { } }, - "$abc$394$n45_1": { + "$abc$408$n45_1": { "hide_name": 1, "bits": [ 28 ], "attributes": { } }, - "$abc$394$n46": { + "$abc$408$n46": { "hide_name": 1, "bits": [ 29 ], "attributes": { } }, - "$abc$394$n47_1": { + "$abc$408$n47_1": { "hide_name": 1, "bits": [ 11 ], "attributes": { } }, - "$abc$394$n48": { + "$abc$408$n48": { "hide_name": 1, "bits": [ 39 ], "attributes": { } }, - "$abc$394$n49_1": { + "$abc$408$n49_1": { "hide_name": 1, "bits": [ 12 ], "attributes": { } }, - "$abc$394$n50": { + "$abc$408$n50": { "hide_name": 1, "bits": [ 47 ], "attributes": { @@ -7286,134 +7286,134 @@ "hide_name": 1, "bits": [ 306, 307, 57, 60, 63, 66, 69, 72, 75, 78, 55 ], "attributes": { - "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:43" + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:43" } }, "$techmap191\\mem.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 82, 83, 84, 308, 85, 86, 87, 88, 89, 90, 91, 309, 92, 93, 94, 95 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap192\\mem.1.0.0.A1DATA_16": { + "$techmap193\\mem.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 96, 97, 98, 310, 99, 100, 101, 102, 103, 104, 105, 311, 106, 107, 108, 109 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap193\\mem.2.0.0.A1DATA_16": { + "$techmap195\\mem.2.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 194, 195, 196, 312, 197, 198, 199, 200, 201, 202, 203, 313, 204, 205, 206, 207 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap194\\mem.3.0.0.A1DATA_16": { + "$techmap197\\mem.3.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 208, 209, 210, 314, 211, 212, 213, 214, 215, 216, 217, 315, 218, 219, 220, 221 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap195\\mem.4.0.0.A1DATA_16": { + "$techmap199\\mem.4.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 222, 223, 224, 316, 225, 226, 227, 228, 229, 230, 231, 317, 232, 233, 234, 235 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap196\\mem.5.0.0.A1DATA_16": { + "$techmap201\\mem.5.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 236, 237, 238, 318, 239, 240, 241, 242, 243, 244, 245, 319, 246, 247, 248, 249 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap197\\mem.6.0.0.A1DATA_16": { + "$techmap203\\mem.6.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 250, 251, 252, 320, 253, 254, 255, 256, 257, 258, 259, 321, 260, 261, 262, 263 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap199\\mem.15.0.0.A1DATA_16": { + "$techmap205\\mem.15.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 180, 181, 182, 322, 183, 184, 185, 186, 187, 188, 189, 323, 190, 191, 192, 193 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap200\\mem.14.0.0.A1DATA_16": { + "$techmap207\\mem.14.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 166, 167, 168, 324, 169, 170, 171, 172, 173, 174, 175, 325, 176, 177, 178, 179 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap201\\mem.13.0.0.A1DATA_16": { + "$techmap209\\mem.13.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 152, 153, 154, 326, 155, 156, 157, 158, 159, 160, 161, 327, 162, 163, 164, 165 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap202\\mem.12.0.0.A1DATA_16": { + "$techmap211\\mem.12.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 138, 139, 140, 328, 141, 142, 143, 144, 145, 146, 147, 329, 148, 149, 150, 151 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap203\\mem.11.0.0.A1DATA_16": { + "$techmap213\\mem.11.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 124, 125, 126, 330, 127, 128, 129, 130, 131, 132, 133, 331, 134, 135, 136, 137 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap204\\mem.10.0.0.A1DATA_16": { + "$techmap215\\mem.10.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 110, 111, 112, 332, 113, 114, 115, 116, 117, 118, 119, 333, 120, 121, 122, 123 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap205\\mem.9.0.0.A1DATA_16": { + "$techmap217\\mem.9.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 292, 293, 294, 334, 295, 296, 297, 298, 299, 300, 301, 335, 302, 303, 304, 305 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap206\\mem.8.0.0.A1DATA_16": { + "$techmap219\\mem.8.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 278, 279, 280, 336, 281, 282, 283, 284, 285, 286, 287, 337, 288, 289, 290, 291 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap207\\mem.7.0.0.A1DATA_16": { + "$techmap221\\mem.7.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 264, 265, 266, 338, 267, 268, 269, 270, 271, 272, 273, 339, 274, 275, 276, 277 ], "attributes": { - "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, diff --git a/memtest/mem.init b/memtest/mem.init index 82fec4e..c782677 100644 --- a/memtest/mem.init +++ b/memtest/mem.init @@ -1,2048 +1,2048 @@ -9823b6e -4fc5ac4c -1e48e7cc -42e1ed90 -c1bbe5a2 -5f497e20 -96bba0ba -aaf605cc -628c0df0 -35a509d4 -99864d5e -bb3cac2 -e64c89b4 -413a11c0 -1d847294 -746355c6 -6f5738f4 -a8675c86 -a2fb2462 -f995dd22 -9324d654 -3faf6814 -4747602e -1f7be838 -9872b782 -fba90b48 -f95accdc -6729e6a6 -ea1c042e -b2114f30 -e4396d98 -f9141e44 -24ee4480 -d169f766 -aa2d15a4 -109a7d78 -dd572dc6 -83feecec -5f2f72fe -d80ecdd0 -121249fa -609f520e -5944414c -95622b7e -1863f998 -5aeef480 -ec85cebc -8d76ba5e -14d26bbe -a3a93eda -dd2c3ff6 -8cceb13a -ffacca7c -13140a5e -7711c28 -9b80a93a -b068b1f8 -4fc85dc8 -e99e636c -1266d774 -df8560de -89e2ab4e -71c604ec -333c422a -c5914254 -c7c016c8 -9db579fa -b3629010 -e594d27e -5c75d6a6 -5d855f64 -7c52c336 -8a0364d8 -637a50e2 -c9f127e4 -a784b03e -239da172 -2967e354 -7dc3dcb6 -e9161054 -8641d8ae -a9c263ca -62bbb0a -e5189512 -32180472 -2c4478d8 -cec15b58 -2891e314 -e028042e -4127b17e -882b20a0 -9b667a34 -268a6f4c -46a85c54 -4c2c021a -385d77b8 -1b26c104 -fb53a044 -8725cd0 -bb32b978 -ff6841fe -40b3769a -5b8bf56c -45fe1136 -19d99bbe -7ca48a64 -8e21ab56 -a58f87c -8f4ee77e -9df3ade6 -b7b598de -c23af2c -12c8cf9c -7de3178c -8bf6c74e -22563674 -3f4f3d1c -e1937a42 -5790cff2 -42375002 -b1b0095a -c559bfbe -65bc5506 -b3288194 -d1a69b64 -b97131ac -4878f94a -2fc4be40 -5e242566 -66b2d6b4 -8ccbafc8 -411dab78 -3cf4dfa6 -61f6c9f6 -52170ff8 -66f93218 -f94545ae -e3fd2db8 -2ee678c0 -709188ba -d7c81306 -64c7ab0 -ca78527c -c743a1ea -9126804 -f62b136 -c0c581f4 -4393b476 -676394f2 -f08d578c -70fc4df8 -8020b1d4 -e5a93212 -87ccd22a -30b1202a -c1c248be -fab02766 -6159c16 -6efa3fd8 -7bf8e580 -c5da685c -6e041ec -6983e6a0 -3ec3d2c -7568e2d6 -9d05e9e8 -f9e36a64 -1b4d9016 -d46ab6ec -6709cae0 -923c3fd8 -8ee15ce -977c778e -4afc797e -566c3d20 -c7827578 -dfb570ec -318c49d4 -a26ee47c -9ad0c980 -7b855f32 -905d510e -db306c92 -a5655a2e -9ede8f24 -2a77856c -d00bd06e -280c879e -7f203cc6 -91bf9aea -3a704bc4 -bc6da670 -184ea9c -a74c5830 -d9ae82b2 -870d8ba6 -48626736 -852150b2 -4b5dfe18 -2b65555e -23329708 -4c305030 -85fbd648 -430e610a -8a8a6ef2 -c0f096b8 -1731e93a -b28f75d4 -91ab0620 -620058e2 -66fb267c -808962da -53bf25dc -11728028 -ea6daa92 -8cb9fc5c -377fc320 -91f2b7c8 -db9c8f00 -350c805e -32c0aade -d2e7b10a -aa8ed3dc -97354c90 -f0f4c934 -5d142524 -b479a77a -4ef7edce -ebdcc7ea -700b7b40 -48302998 -c50c8fa0 -99f451bc -95e11f5e -5a358eb6 -e1d8dbaa -f657c204 -b11fbef2 -fc900c5c -da2d290e -a124760c -d03e63ea -5a9949c -25d416de -17489198 -3d4c1f6c -a2bf830e -1c9e87b6 -f92cbeb4 -aadda86a -f18b3550 -6fe4b5f2 -8639e0f0 -3bb93d5e -9e16f4da -30597622 -2297b4ee -75d82794 -ad77787c -150efbc2 -778fbfc0 -e66af400 -895afab2 -ea9b3b48 -fd8f6b46 -aabbde2e -6273f0d0 -45924ef8 -d926be5c -25ca35ae -ab31be62 -cdad5c18 -84a0b528 -9f6a5f66 -542cf1bc -8cb48b8 -f80569a6 -3a385346 -738d8258 -4026f710 -e41f3290 -ba6ef00a -44f20b2 -33815eb2 -b9ea490c -ae1ec7b2 -98a1f2a8 -7c919f6c -9d291ac -cd4e13c2 -a2675940 -2bb2c9e0 -e6f17236 -58c296e2 -72062456 -cbd77ece -7bd9cbf4 -8954ab84 -ecb6bee0 -648fcbe0 -b12bfbcc -bdc08fea -a786554 -b6a82948 -a428c7f0 -a2744ba -ad64bfc2 -a1237c94 -6e5e658e -2720cf6e -f04935a -701b37e8 -9d2ea2e0 -a83407ee -90c7f2e2 -bb31f000 -ed133484 -c33be2ba -b691df58 -57ff1aae -e54e40d0 -8d321d52 -bb96cad8 -4d062c04 -fb9b997c -775bb8ec -93167d38 -e844c01a -eadf9f92 -2a00b90c -9989e990 -409ead12 -7a151852 -413ad3a -ff6efbd2 -2b8bae66 -cab3822 -f1cb8516 -bb8c3872 -b15b7438 -5ae7230a -c3e7d178 -26c6f4fc -fc22d95a -ae72bc8a -7d2c801e -59d4d15e -cc0aecca -eefc9b82 -ca6c5308 -ff063606 -3cf3fe4a -43e283b0 -8f4dccc2 -d8a81762 -4028057a -87542410 -f9648558 -363730b8 -a8b46fc4 -d29fe784 -dd9287b0 -253c039a -eeb203b0 -c4b2c0f2 -a340165a -6ca89754 -4318e4a6 -73f63c3c -2b76d58c -b0515d42 -a3d03ac2 -3490f992 -afcdc488 -d8433054 -ce7a2884 -2c58d92e -a3c2b8b0 -ff6f5172 -def23048 -30549cd0 -6b8373c8 -65306f7c -c85b4b5c -4ae457a -30e783ac -da68663a -caa059e -27c829fe -6a4a981a -270b35d2 -4cbce7b8 -97bfd4f6 -598007f2 -37749c -72bdef46 -8cecae74 -ba6a6912 -89ff6178 -a292e926 -914428b8 -86027b2c -9f765040 -1a81fd4c -39cee804 -367c4992 -50f83a56 -78a21862 -c48103b0 -5fc0f864 -8e81fa18 -c9fcda62 -4f3adc1c -f35944f2 -41c0022c -fc82687e -9f66837a -7aa5b5ca -ce4c962 -9266f3b6 -dafbec5a -b013838 -a90f4f84 -4f9dcbea -f973f4d8 -6f57a2ac -155b3ee4 -52c7e708 -dda097f6 -6808d276 -da7c67bc -1292f304 -58f8fe4e -b23a7860 -6dcf7b58 -6a29524a -b16a9b3a -f99cc3ba -3e4889c6 -9fb73092 -eb4fd0a -b899dd88 -5c1f8782 -e6c97b08 -513ebf62 -4c3281e4 -bf8155fe -fccc1502 -bf494dac -7034872e -9d4e8162 -8466ad6 -251b8d64 -bcd29408 -7a07c40e -575d21e4 -ec23229c -3b47ba -83a9a70 -2f436e6 -6596c5d6 -3da64f94 -f75aa9b0 -62e296b2 -bb8543b0 -863949e -764f8d4a -1e343fbe -66d1bf6a -6074a5d0 -e0052440 -46a7b82e -25bd6e24 -36c7a50c -edb78296 -21e75d5e -8eb66296 -d2621b98 -a0cc0bd8 -a297eafe -927075d6 -9c7ad6f4 -d2dc207c -11fd3be -30d49812 -a798703a -cc2f5f02 -d33e8df4 -219a99ba -fcde47c8 -a80cb602 -3bf7c716 -f806523c -633bf320 -8df12aee -8a46b5aa -96d2c22c -3525e78c -1ccf882e -a9a51200 -4e1bf14e -6d2c0dac -f264b46a -6d0c59a -14545f7c -5d43f034 -1474c06c -f81226e -c827ed6e -aa5e2608 -e8f49500 -e90aa0a2 -3c7b6270 -6e3c8614 -9497b9fa -2472a854 -508c8f1c -1d3fdc66 -2dee5bce -c57689ac -491131e0 -cf97bf50 -3562b91a -aa924b9c -779b9218 -47a9693c -279a07da -f10342ec -3efa78e2 -e42e5efe -fcb6cd8c -c75a8a50 -75750ba8 -11927512 -5bf6317c -24e7ce7a -b5753e78 -9fc21638 -1388168e -57e71572 -c3f2d19e -b5863e64 -1e326382 -f0d7c85e -9dae1a96 -bd840d34 -582ba66e -b1428680 -2c3c6138 -85dfdf60 -e8ae9326 -4cd6063c -471f3cb4 -4b3e160a -7a7aa934 -3ad97730 -caea771a -1dc16ec4 -a60712da -5afd1ef0 -5fcd400a -6bf4b74 -fd3f4ad4 -75bb30c4 -268af41c -702d6b58 -9c391a84 -ec66b762 -3efc906e -85c326ce -788bd01e -a5f94d40 -b8953e44 -28fcc9a -9c97a3b0 -4aa02ef2 -e4488bf6 -920b2dc4 -75573b36 -34dc0e3a -ae7d40b8 -33ffa6b0 -6fab6b68 -da09e3ba -9819121c -aac398c2 -5cb5feba -eea21608 -14b91368 -d0c4f9f4 -89bc33ca -5132864 -b5910cee -1b7ba9d6 -a7f94abe -86bf5212 -faef4ec6 -5d4150e0 -9a53e81c -c62488de -c891fbe2 -e3ce2f8c -34672b76 -5a34fca -61de3aba -ea97d308 -67200ef6 -ea72b928 -ca67b978 -41406920 -f368199e -e206be10 -813a3c60 -59616010 -e35275d2 -3a4936b8 -a2514d8c -d8dfbd6a -191c20f6 -d5a49c7e -5405ab66 -90a3d800 -9c9124f8 -b7a9897c -19bd7af8 -2c26180a -87184bec -a9227290 -1e31e56c -234818ea -3fc0df8 -679aa9f2 -7cf5178a -2b0498ae -2fe27aac -4b20ab6a -4080e74a -1f3c2d0c -3ed7224a -bf53d230 -b0234688 -d09be06 -2a4562c4 -fb5ca0c2 -dd4d2ff6 -a8fb69bc -eee1ea04 -a3c486d4 -2b663328 -24603b24 -5f4e0816 -f08f515a -ca8556dc -69c4d6b0 -8e7374be -5f44f120 -593a0fce -93fdc102 -d123f79c -e257088e -9e63ae02 -93941dee -fc0a8c56 -422288ae -d07f1a6e -5fec51a0 -4335c7d6 -d4336ad4 -7a89beb6 -e8cca568 -c0011508 -e6c3258e -5e8a9096 -7e8fcb26 -4ae3ed84 -a2e9b09e -3e04eade -48cf15f6 -becd4e3a -a9e567e6 -41e66eec -17bf7a90 -ae331f5e -9403a090 -aa7402f0 -a2b6632c -d5d0eaea -c38b22de -49a678d2 -a6b7b942 -d0fd2830 -34a330fe -9d0ef0ca -9086df6 -e6a369d8 -fa3f94f0 -f0eac7a4 -a6d01584 -ef487634 -5d30b4f2 -cfea8714 -62cd9294 -a7a7748a -93c381e4 -1696c926 -a14e82e6 -e0d11cb2 -3adf7e6c -87f98b80 -34bda2e4 -46b9c748 -6a51798c -41dc8ce2 -104229ea -82ef1410 -695e1d9e -2c57070 -f59e561c -315d4bb0 -29e78eea -a52933e4 -d885515e -5e96c122 -84796fd6 -ee2ae228 -957d14e4 -4a37da1c -86dc1790 -baaf59a4 -a126db44 -80f2814a -b28c669a -cb774f3e -e4f7e1f8 -5476b53c -825f02a6 -dd7eed9c -e6078442 -a802638c -b792efb2 -aea5b02 -946e93d8 -d705c38e -157e6416 -b9fc92a6 -ba073c9c -b48e6cee -e984993c -8c1fdeda -1d98f55a -574371d8 -7b471a66 -b57f1f22 -c8315cca -2aa5a304 -153d8d98 -298d0782 -d9e65540 -115f306e -c264074 -1d6f1da4 -85325468 -148523a8 -6973bc80 -940f036c -1745f506 -5a3f153c -8130dca2 -3cd47634 -74601c28 -37163ab2 -f5059480 -2763af30 -d8a79bb0 -d054a746 -4272a484 -b5c63402 -c838b452 -f2453296 -4cbf7b0e -7d92df60 -bf64cff6 -d324b3ca -4e5cbbd8 -cfc46cfc -390f2712 -7864738a -64a8f146 -f5eb194a -df85162c -5e9dbd78 -4a07f702 -9718fc54 -e2ae0fb6 -40c56084 -165feaec -668f55ac -81095052 -9f37e33e -62faab50 -f2a32c8e -7a7f7d9e -6b82ffde -40622c08 -8ae97328 -7d96210a -d5879b84 -697e2a14 -ddc11f5a -64a3b5d2 -efeda482 -20eb246c -198f329e -249ff54 -6ae9fc90 -a5a7550e -8344f1c4 -a1d3b0c4 -3d392a2c -1c261a08 -c85a212e -28a6096c -ee54b90 -81c8a252 -86769d9a -b62697e2 -5273136a -20708012 -507ca1e0 -9ba8c5f8 -4fe82ede -65d6ffbc -b007d7f4 -f75f3fd2 -2fa3493a -569e3e0c -a670002e -e1dd31b2 -49f646b4 -4cdffcc0 -65fa4b16 -95b496b4 -cfd0d5ac -a3f32044 -6acbc452 -911e8c6 -dd6537c -edb67910 -4d290b2c -fefce94e -70c190b0 -be226776 -220c3412 -ed3d4314 -9ef73168 -1beb5c92 -80dc04f6 -cd346ee4 -2e94a51e -245de05e -51591abe -7b51a126 -304d1a1a -abe15a26 -1a6330e6 -cfa79e82 -d64c0dac -cf378b96 -fd2a13e8 -2864f8f6 -775d07be -90d35316 -c1cc2a44 -72d2b3d6 -9e7da340 -3693b3da -6a3a1f9e -1a083fb4 -4b7d17f2 -e2c94a42 -e5988628 -d2a5c0f4 -745bc7f8 -bb873dc4 -4733b3c -e8b11a06 -30afa042 -4d5fb488 -c083930e -9b2c31ee -5c413ab0 -34c2396 -aaed5168 -c00919be -9daad532 -ccff19d0 -6db4a674 -1cfd5d46 -a10ccb62 -8a877ac4 -46b76e56 -e69b06f6 -8a73abf8 -ecae0c1e -160aaaa4 -3797f416 -e90972c -483b340c -423cdc6a -3a579b84 -98af9ae -9fbad66a -14d909de -90a85c8 -d0c4fa7a -56cf802a -bb83185e -c48208f4 -42d0206a -bc5840ca -65802998 -d6005db6 -7b82081a -f1dc7654 -672ab54c -a5ff03e -e4542ae0 -52526f66 -26867972 -ab21176 -860a0904 -1b7e9064 -ebef430 -8c4e294c -cb3f6ef6 -f9dad9d2 -828d7d4e -626b04d0 -bbc3763a -66cc2ec2 -aeee2d06 -e3400d30 -f760225e -64c1f3f8 -7c888424 -627aea0e -aa5c5298 -e12dba96 -e9ed299a -e2a1c94e -7ab5a9dc -b4c23370 -d13b9aa2 -9f029bf4 -ca32f222 -d24c4a7e -b9b4f2b4 -716c3aa0 -c82b0fa6 -156e0eb6 -83273e66 -d243848e -c79d0b08 -fa418d30 -8172a156 -b17851e2 -f9a97dd4 -2a668662 -e106ee38 -e603358 -6fbdd9dc -83aa791a -2492a954 -ecbbb042 -25fc2900 -95fac52 -32e0fb62 -789a0562 -1332888 -f186870 -a7f0e482 -66372c16 -75b91c0a -e779e03e -d20852d8 -6f1e0542 -2596932 -ab63e16 -a20c4c0c -d05cad8a -3695e61e -4ab4c1fa -92d957c -b09bba56 -d2fca680 -4bef24c4 -41841b60 -1fcf1d70 -c4dad57a -eb98b25e -8526ae3a -9e41a7ce -b0251754 -fd917838 -5e6dc8b8 -1c8af14a -5cc751ce -ce8ddddc -cea0ac84 -c8b4db68 -6be6255e -c9f2e946 -27874c2a -c0e2f4f8 -89c69cf8 -67409af8 -376fa4de -f25950e0 -411b8ef2 -6518c952 -b8ca6ade -5d5e24b6 -3df514cc -64809f7e -e6d41b38 -9c50121a -d25bb064 -d4adacc -a0fc1e04 -d3212ee -7d89a7d2 -9d81c632 -8ba7cf36 -9adae70c -58d1f8b2 -782130c4 -4833fc34 -65b0a650 -dd0a5c50 -55a7f450 -d9b2a8ac -c6f8e9ec -b9ba6b36 -d93be632 -43ea5192 -f1fdb65a -cb886496 -6b6b474c -eede2afe -125ac8e8 -8bb6919c -ca1da33c -b2c33626 -95bf81f2 -d9c37d78 -c4c81d02 -b3ae2774 -17413cc2 -e3fca8b2 -366ede16 -486f3284 -4794cde2 -efb7e616 -96a367b2 -687f364a -cc5eaa6a -dcc75b74 -fe12d066 -87614bc8 -8f4517b8 -ce40c8bc -399cd62c -d7bd7284 -69bc9ccc -c3ef08d2 -ba414d74 -c50972f6 -790c33ea -c328b124 -ab421502 -5712f0b0 -1086a134 -1183ecec -4506ba2e -57e4c336 -c37e2b18 -575c302e -f00f680c -a5d63df6 -ac50b534 -a8e45604 -9674e9f2 -779a74b0 -b0eaee9c -72d493c4 -373a7948 -893b8e62 -a55225f0 -cc5cc254 -6e2e7196 -da68d8bc -e130bd76 -e04eb90 -5f654dec -d9ae4aa -530ac86 -992df000 -bee91e90 -6b6257ac -57705a02 -5b426354 -d2f29ce6 -8c3c0af8 -f76d75d8 -a749440c -c4e7314 -17283f52 -edefad78 -b3488f52 -ceb108a8 -338a0eda -e8397744 -b3df2102 -4f862962 -3fe11250 -cfc04940 -f537d910 -caf53f74 -6a4e4e0c -dcf65fbe -2b3e152a -be6c1b20 -a35b8fd8 -cfe9bb70 -32f5b9ca -25d95940 -182b7740 -375a5a48 -dd58cf3e -9c89fe2e -dbd47c82 -5435ad1e -9634bfda -f5bd5bfe -568f6b94 -d669054 -4a69ec42 -8eb279ac -774ee432 -b31b9948 -b466a906 -72ef0302 -1df04dc2 -e9d1646c -77cdc288 -472c5fe8 -f32d19d0 -cda1468e -5617b132 -fcd3dfb8 -e02f5fca -d24cc956 -9956f66 -5f0eea14 -a56030e4 -ba49850c -910fa590 -9e15b130 -427c0782 -63a5ea2e -6a744aac -19db59ee -d629c0b6 -33d54d6c -b1faf4a0 -b19c2e4c -1964b5e4 -30255e2e -5aad778a -5016d2ae -924e3ec8 -6b94664c -7d1897ea -70ba47a -e652ebfa -a0574cde -d3880d1e -1a1cc58 -a704ed32 -9f32fb72 -6152622e -a0142d3c -ef6907d4 -5741ca50 -2d728a92 -15e11926 -e15d9c6a -28962c90 -a9379c56 -d9e18be6 -a5b8d152 -8c8c3c20 -513c906c -5825cb6e -1e5b9f24 -d0421d34 -b20ab930 -9d1aa83a -6d66a318 -9f9bea14 -910097d0 -5dd8f0bc -fc7bbd06 -62c1f53a -20d67e36 -6d7b41c6 -84ef3820 -bcc5e46c -6f9d94f6 -7f10f338 -4c18bc86 -a915084 -37d05d3e -3507ee62 -15bfa2e6 -a052f71a -9ff2fbf4 -db3d2f72 -d1b2fae -e3f958e8 -774b9ac8 -22dfd1cc -dcd93a32 -1215ff44 -6b66c7c6 -d448458c -8991aada -d33e8980 -d1da4cda -2ed09568 -d7201368 -4a7b3f66 -e965402 -8c12da7e -e77c4018 -798ee654 -a788e606 -18cc2b94 -3b17afe8 -a4d1ada6 -4f73d36a -7a99d888 -d8503bba -5b5bcfd0 -b7bf3ad6 -668898b2 -6ee6ae8c -ea9d05da -29f385d2 -a64f35a -d62ea384 -a0f47dc8 -d551c70e -ed056ed2 -cb640fa8 -e4df8eb6 -6781ef26 -9a5ebeac -56eeca1e -e3c7f1e0 -4cb36b16 -bfb60d10 -6bd4caea -18d7f256 -a99b7230 -913dbbc4 -d2b919ec -b6a20b02 -2a8392ae -724852e0 -6941a888 -d7884a02 -9aa0028a -96a58d06 -62b65216 -adebf0ec -a6294466 -b2668d0a -64c3c7e2 -8f160006 -8be706a2 -ed1f1d06 -df6e6dcc -b7c55f30 -663dafb4 -785caa60 -91b846b2 -4420da14 -45901b80 -d73fcbbe -a3091040 -adea39e -6612badc -b9d02924 -a777c710 -2625bb04 -f0241540 -12c63b78 -2574938 -7339c6fe -ba5d3a90 -77823172 -bbb41940 -fbd52eb4 -7fdd0852 -8964993e -582c54b0 -b697c1d0 -65964126 -887594b4 -bdca8aea -cc30422c -4661703c -b2e9888 -5e9e6d58 -a43b4956 -e79f9756 -9a208e20 -d026fca2 -ee346a5a -d69e180a -974ab1a4 -714c2240 -6e41af90 -809e3ca6 -398b0fe8 -76ba5cf0 -66abd5c4 -124dd3e8 -d83c6706 -1bb778b0 -a7bb72b6 -d5b8f3d2 -c1cca272 -6afe9a28 -44fef78a -cf67e0a8 -21a0e6fa -ae6f87d2 -adf9c4b4 -3ccd8524 -57d20762 -d56c5a72 -16aee926 -9e8ac0e6 -8ee7ad3e -e5c4b282 -4e57e054 -5c765c9e -bbc6cbee -30623c50 -30652924 -14c058f8 -9d2cd5ea -c0b5c0c0 -7c5db39e -6c11249c -3e0df3f8 -9f126c00 -6293d6ce -7ce7f584 -3beab964 -cfc84ae0 -6e66333a -891dad60 -c6a4dd94 -3af07c4e -3f24039a -b9e7a8e8 -3166fc8 -5d90f1f2 -126ceaa0 -a8379f6 -10b1a016 -e52b1c2a -8f3d9a94 -ebe3960a -5514ce46 -9facbe84 -a105db60 -8d77ddae -70f1b8c -1c71b7a6 -2c047296 -6d1f0156 -8fd8e920 -c0eb92c6 -b4cb9a54 -a0de55b0 -746af5c4 -dd9b5fa6 -5266f1dc -39a03dd4 -1c967cde -45c00f06 -3fb54de0 -baa98df4 -9bb0339e -482951d8 -c0aa74ce -ba8a6a62 -61773466 -5ea5d196 -efaf96a2 -88a3c4b4 -8ddda766 -7b66c86a -9c9b485e -36b9ae0c -9ef2e3fa -25b0bdda -eb984810 -f651132c -d6783b9e -d6f0b27c -689e0150 -485ec76 -26016f7c -adb1de58 -46ac3db8 -2ddecece -88f8bf30 -5d7b0744 -6adb2130 -7dc84b6c -6ea4db50 -1aad4446 -969b512 -8745f3d8 -bb86908c -551e03ae -762eedb2 -309c0e3a -e78cf5e4 -2235d37a -57ae511e -867048d0 -8c2eea6a -747fa9d0 -361ce19e -a33fb65a -f3ecea1e -feca01c4 -3e3dd0c4 -2ea81eba -3c1048f8 -5be0b648 -686f8cce -3f108f74 -fd22ea30 -54a8d4e0 -260de0f0 -efa354b2 -561dd82e -a7a91446 -998492c -d65d370a -92a97e54 -44bfacb6 -3830cd10 -80637dfa -49a7fea4 -4731cd02 -1c42e3ca -411c38fc -2812e66c -f3dc44aa -ab3e5bb6 -c459f23a -b26b40e6 -a89584e6 -45f1bab0 -2078bd50 -ce7e5c28 -ed3d945a -767326e -7c2da2da -d365e5ee -a6fdebb0 -9342b0 -cc1449b8 -47f8297c -38ee5aa8 -3dfa47e6 -16dbf442 -16b5a732 -e4f675b0 -fb49915c -266a846a -9f2729b6 -88589d5e -9594ff60 -5a09d3aa -966a0ba2 -f347661a -93694e0 -6eb3e57a -cd581c5a -d6e073fe -941ad82a -c5be4398 -ea21d6c0 -2ad839f2 -341b5a7e -c6a78f14 -841501e -4160e9c4 -ef5dd1ec -f67915a6 -fb9ceca2 -b15f9a7a -55412d8e -cd77fbda -878f314a -fa1c9896 -918dde9e -9354f2f8 -93ae51f0 -4cc6c7d0 -88c95e28 -b38945b4 -765cdece -c4d1eea8 -89fa2b64 -9e96973e -d6b0966c -f26817aa -701ee4ea -1beb21ee -80984ab4 -40ed0cf0 -9a205458 -169894ce -deae9800 -8a8b9bd0 -de599868 -fd626978 -8914c940 -9c440a9e -168280 -e67dd540 -167314ca -2ece8fa -c6451faa -d911e608 -ab34fd1c -6702caa4 -c2e5ea7e -f53c29e4 -2ba4ccbc -ae7a8e12 -fd90a66c -cfb4fc96 -8585c784 -50c7daa4 -a40e237e -674be9c8 -a15c5d46 -ad841842 -dd5b5cfa -fadbf964 -7896b20c -c485e718 -2cb236c0 -a32348a0 -eb3783a4 -423b9b60 -61864b84 -aced7284 -3e20a092 -8c14047c -abdc0964 -75454ba4 -23d1bc5a -a6b3baaa -72b0dcfe -f0df3ee0 -d3366104 -fb9f148e -1c115722 -3ec8c866 -8b2c193a -ee93d3d6 -7edfe682 -76fa575a -898f4d26 -20a65a20 -d89c6f78 -e4c354e2 -fd901104 -3989c0aa -9cd4c65e -187c673a -87487534 -7ca4cdf0 -d0072a90 -1b0fe8b6 -57eb9cea -bd3c52b4 -f1bc5b1c -866d3b30 -1c167872 -ba89b712 -af2681ce -61ca851a -c7e5916c -b5b7ae04 -dae01cd6 -7dd9c372 -a37a87e -fd81ee90 -d3b0bdce -a6c6a134 -121453b4 -f74110cc -ace44378 -933f7008 -95ea0b4a -f5f0e24e -4b6a2e76 -d7ae82da -4261f2e -6a558a96 -329785c2 -6113b08a -2f0aeb66 -ac78ef64 -88137846 -4749cc56 -2f7e0c00 -4e3ce7dc -834dd2d2 -68c19cc2 -1e6c5f40 -630db034 -29b8931e -440d5904 -db7a18a -a8cf41ba -eae6b03c -ff81c9f2 -d996a558 -fd919c6 -8bbabb8 -635b53fa -4fce9716 -d72eff3e -78e19144 -a555b302 -ff156258 -f0e01f72 -44b4ca14 -112c82f0 -58c09c0 -eab41614 -82177718 -71c1fd82 -3b29fd54 -419ad576 -f0db09ec -cfbfd58a -9c271934 -e5a3c12c -ecf39b08 -425bf188 -590949c8 -7dfd2d4c -ae0de0e0 -c25a9cc -3e26882a -acde945a -3520c1b8 -3a171746 -21212408 -87339af8 -104b3d9c -755f661a -fae8bae4 -8703644a -22657702 -467c40c6 -5f1ce430 -38d83fc2 -91160fd8 -872984b4 -9fc68a60 -2e3e01cc -c3e5a330 -ed451386 -9926b7b4 -dc515cba -13dc6694 -cf7fe93e -a97425dc -3d4e78f2 -839192e8 -b370f728 -2692ca0a -6b015738 -3bd4c790 -38577412 -de5c155e -2420d504 -2c0cd784 -84e362de -2a6b9c54 -edfeafda -f12405c4 -5c03b550 -4163e4d2 -8817361a -c7c2336 -741ca454 -95fdaac -8f86db9c -72ff5ea6 -75cea944 -a54d13b0 -24168f2 -548f967c -e78381d8 -993de714 -5cf8d086 -af0b8d74 -61b110f8 -16bc9ea4 -54fb18e0 -c32fa41c -9674ff4a -ef1e9086 -40bf30f4 -aeee287a -98b98d3e -5472b0a -ebe3dc9c -d3f2291c -fa96512 -60596422 -d2e8322e -7790ca3c -7a12774 -333ad8e -4a0756ee -325ef2d8 -fc9cd886 -5d7fea6c -315cd0a6 -597bc630 -f1fd24b8 -671afa3a -f872eeda -7d8f6870 -d8c8da6e -13078052 -6f281bb4 -815c9c9c -94d4a446 -f5be42b0 -82c8ee4c -813ba0fe -c7a67584 -91414bf6 -ac68a38e -3d8328be -a6ba2f52 -582e8306 -57519dd0 -d41a19c4 -c7a8bf9c -a98f454 -9e80787e -5706d16 -c0a80c26 -21424dc8 -8ab26614 -4e09ab52 -4b91c7f6 -6f814cba -b7e047d8 -8ea4baa4 -b65a596a -8e497e26 -fd217542 -4d696c66 -d38abc48 -acae917a -f2a6b462 -238901b6 -35d45740 -2581ef74 -82d24206 -8556b0c -b94fab00 -fb91390e -165a489e -867b3076 -19142616 -fef320dc -12fc38a4 -3a50e834 -7e2b3246 -6607021c -9b2d05da -eea6721a -faa373d6 -1e419c70 -50a80030 -96ee282a -75c23076 -4ad7e608 -7eb61aae -ee6f7524 -b148514 -8f3d0f2c -b6926230 -2ef83066 -df0fcd08 -945b5dd2 -dc99ffb8 -88826de4 -1f4fba6e -8ab21f18 -9805ddc8 -4da10a4 -ebae0df8 -8f8fd926 -e9af6830 -c0675216 -379da178 -a95c803a -d42adca8 -f8a6cfb2 -fea55d64 -8d4a3dbe -64c364da -86378564 -c5d6ce8e -38855b74 -ad976d36 -9113668e -f7eafd40 -54d02b7a -85779d5e -ac76cd3e -9ce6bc40 -1f0f482c -bc4604d2 -f99c0896 -e26e4e98 -ed842800 -5b5788a6 -5140044e -d99740d6 -b528fae0 -4636757c -96d2d6ea -800329ee -95451dfe -5c06488a -2c97eaf4 -eff2ba56 -9ea3caee -5be181d6 -1a36cc92 -ec10b7d8 -1996ebf0 -25328b8e -dbe6f128 -81999558 -83373946 -a72d9046 -c4eb551e -19d3f79a -9a58170 -8569960c -13a58772 -4ec1c880 -1aba6862 -31c35d60 -f6d4d8aa -c6ddc42c -d6c97154 -8480659c -3a200720 -5732cd1c -4dd0cf12 -92e4f000 -a6e50058 -420fe072 -c8076820 -f422b414 -d0022eca -a975453a -c6e3f9c2 -e55ca106 -9c0a0fa0 -3b0a599a -1fd9e7ae -c22caeb0 -32ce3d60 -3bf00212 -d8975c3a -f1dfca14 -ac519c44 -94d49922 -638f3dc2 -281dd20c -8b230110 -97bca692 -c140c900 -c8e28e06 -e48bbfe0 -63655a40 -846cbdf2 -aa7abc12 -24d2369a -ffe32548 -1ef3b270 -afb3d090 -22b2dc92 -b78a16bc -46623394 -9b726030 -95012042 -af35f1b4 -971304ae -72aa57fe -31528734 -2579f0ae -fa6c2e44 -8431a346 -7891413e -c3bbb9d4 -d1825aee -1184b73e -4287b92c -8cdb65fa -b2598a2c -306ec774 -976724ba -d2105dee -bbed98ea -13755bae -93d201ba -9c7fcc0 -4eaeccfe -86f2e414 -d9e21da4 -d27fec10 -b60075c8 -45300d2e -bed9c8cc -a460b9a8 -77fd2c52 -63cde2dc -7480c97e -87f8c236 -ca7af3b2 -49fa1cc8 -2e60a89c -6b9ed462 -bebba96 -72c4f078 -303b302a -9b33b43a -3f25f882 -d30252d6 -8c8e77fa -8dff160 -c9fdef38 -927a14e -322bfcda -5a0aa1a0 -3df87eb6 -21e60d0 -5f060a00 -f85b6f7c -c2f9f302 -9d4b16b4 -e2546c0e -1f97a9b2 -d8854ba0 -8cf88d72 -e4b74c32 -d64793a4 -98dd12c0 -a66d4436 -7c60ca8e -54225028 -34492b42 -961d84e8 -2852cd92 -f07a841c -e95ee80e -eee62d42 -65087400 -376b4926 -a562e072 -27155324 -a317aeea -bad51ee4 -edbff232 -ae30d658 -a98761ac -da8be918 -95ccc0cc -b8b90d0e -4bb74956 -afcac944 -eedd1cb2 -b92da2ae -a6b9c51a -f65a86e -bbd00e10 -73f45f5e -511c90c2 -ec01baac -2f5d8c14 -66d1e236 -78d6136c -cb1dfe64 -13208322 -ec0a3fa0 -71d6d0a -37a00c06 -7041086a -3e78f922 -7d0dfa98 -65d58db2 -3d9d4f58 -169a5efe -3624c2b4 -bb50a614 -b7bdb962 -c2b84c2c -2f5c54a -67c08332 -6c30bb34 +56fccd1f +a7bf0628 +1337148b +153c4140 +5df7518c +e9d6234d +c42b971e +a3938242 +e81e5649 +fef9807a +1a2bf345 +53d971ea +0b4b328e +b9b27a3d +7cd19e63 +967c88e5 +e4ff7eb9 +dfbf301d +8d0640f3 +3200bb21 +7e9f7c59 +644deacf +25ec6663 +289ef3cf +495e67d8 +31b0c488 +cc3c74d8 +4725053b +5c8aa5b2 +5864dc08 +b6fc1f68 +a7ab1170 +9878ba9c +6985511f +c37cdcdc +0c68a92f +6a7f8f27 +507d2b6e +83b1fb56 +64765ff7 +e4d6f715 +02443d57 +32508840 +9ec5fd8e +8dccf66a +6f17526a +f1a2bc33 +017b6dcf +acfb5c28 +5764eeb9 +37b843e2 +33b87002 +0a383c6e +55a06e08 +ca91683e +87165cb1 +61b49abf +b9c7f146 +b175d4a9 +4a03d647 +70e1b75a +780010c1 +592deb3b +133659d2 +52f66ee8 +4aa50b33 +022d58aa +fddfea16 +1ce6cd1e +ecf5600a +7d61de42 +95d7be54 +79b33bbe +9fd91f70 +fefe2edd +e57c8065 +3075a46f +0a78305d +33a660b0 +91de7156 +4c367dd2 +0038ae68 +550eee6a +8636aaa5 +93af3340 +fce9ad31 +a089d0ac +128e79d2 +64b66b8e +8a6cfd97 +c2a9116e +f4c267a4 +f9ec4e05 +d0d9b4d7 +c9bca138 +e8e777b3 +e1bed427 +1b8bc468 +be93519f +1938d64d +51309bf7 +2fb50ffa +322dd60f +3fcfff07 +ecef3eee +350a5f1d +ddd2784b +75bee670 +4fb628c2 +cb1c0a5b +41f4f424 +758637c8 +e96e5a6b +2b026418 +78629784 +d8dc5f09 +70661cbb +2e7d43ef +a5ff4ecf +da6a56fc +9d4dc9da +9baac367 +754546da +f17a044b +f1bb77f6 +345f4008 +9a73e779 +e3f5da8b +81b1c113 +ac2d2412 +ec4488c1 +5917c85d +0776e3a7 +9c43215a +d167ed72 +c5e94964 +04dd693a +8ad909f3 +2f269cb4 +067a75d8 +bad0f7bc +bab2aff1 +8a34920b +c34b691f +aa6dc579 +9bc3d584 +1e361c37 +92b93bb9 +28fcf89c +13bb0129 +6ff784ac +2511cbc7 +95ee7ddd +c1bcc01b +e27aa292 +a3a824fa +09ebe19b +078ff99f +d7fb7a57 +7949ed13 +2a0b43d6 +8e3350b4 +eb6e7844 +e8faaf97 +0831cbb3 +0316c676 +9b71839a +2269ad59 +a209c9d8 +7aa1fe25 +15705d97 +e810bad6 +5501d01f +bb5ce510 +82275c2f +793e4ed4 +2f2e6782 +dcf7492f +a59905c4 +847a330a +c6ae8613 +6cbc2063 +80c21583 +1577b096 +7bc7e060 +21e2cd39 +b435d643 +5c6287ab +f13554c2 +4fa50650 +ccd8fd87 +8100482f +4b05569f +1f7eda54 +d1ecfb1a +3dc212a5 +065cd8a6 +28887b4a +1817366e +ea715449 +0131bcd3 +12707da2 +f59d9f0e +df44b150 +8d420363 +d8cd53a4 +d32269ba +98889b3a +1479c727 +78ec504d +437c7d25 +6da9e6f5 +d605db0a +c2d96b3a +a3084117 +b9607924 +a5536084 +e959c479 +c2e2c7b8 +1c548e4e +050cdca4 +332fe932 +b62137da +210a2551 +7c67386d +392f8c7e +73c81784 +3da0d986 +c9059ab0 +67fe9643 +deb1921c +f94dafdd +4a34f502 +7aeb252a +701518ed +461f8c95 +6ada76c3 +38852cca +7d5b3b14 +d6387d86 +7e003d4e +6f2df67e +22e0346f +2cd125ad +1710df11 +f75ffcf4 +079c8aa8 +f048554c +47e8c5a6 +527d3f9c +60a5b8ab +73abb1db +512f1397 +2eef08e1 +ee7c02f0 +5edc9f0b +465e9ef3 +0e242a2b +e2732c19 +cca9925b +fa8c48ee +e998851a +abe92d33 +0c1ef4e8 +1c027a6b +2dffa384 +3cc05eb1 +2e9cca2c +cc480616 +648ce9f3 +d0e55740 +880092a0 +bf6aae06 +1dc6a4b5 +607221e9 +9f18ab94 +2bd81a09 +d181d92f +416ec0f1 +b79b4b4f +7edcaf48 +d93c2794 +bd29dfff +3918e752 +14adfeb3 +c0811c22 +72808734 +6dc507fb +7db51e9e +90414503 +4c8f2fef +f6d0614e +87609354 +85f8ae8d +d88d497d +5e856e2c +9a54ed9a +0497302d +e3d0512a +e8097592 +7498069f +21a3adaa +abe466dd +413ca344 +08fb5aa4 +a7dd011f +55fda926 +577fcb7e +aac80f38 +37e8532b +8cbe4eef +32f63464 +9d6a1cb3 +55010e49 +4894f4b9 +331a3caa +82b03871 +de5c6340 +cfba3ce2 +917521d9 +0ef47836 +110eac87 +6d7b24ce +7740410f +37255e57 +549c0120 +a063eebe +98e503c8 +3ce997a6 +93487ed6 +fe9c535d +62369bfa +39643e0e +39788ffe +e2dbff51 +b741ea6d +cc5e52a3 +a29e65b4 +f2d0bd12 +682f9fb9 +061a8f73 +f414f33f +98acf8da +f8c119ab +eaff8bcf +bbb1ea8a +3e6835ba +11d62acb +1a014efa +38e3dce1 +0760d09e +f10aae47 +82c18f8c +91d9cd84 +cce8020c +175915de +13a2c13d +dba9052d +70cb87ad +8a91f768 +f303d29e +200be874 +bef113de +468e9785 +28475916 +10a67c5e +c0e56f1a +e7201c2d +702f1519 +5393cae7 +f236393c +d1479a56 +df405a12 +33c152c5 +d4502ff5 +db76ae9e +36a0e5ec +d5854c8e +606c89f6 +9b957045 +201ea493 +3611432b +740cb889 +96d86c57 +02c545fc +bb084eaf +e0bc1aa5 +085dbd65 +461665a5 +ab5221d4 +72b46492 +aadb6f8f +30945a94 +61b3d127 +fc5e2ea4 +f6195153 +ad74343c +eef23561 +2f53005e +48436a42 +ed38899b +87eb06ea +84eff83d +dc729d6f +dd053678 +38c3053b +5babc8e7 +3075a8b2 +24ff7fc9 +92025528 +818ccc85 +aa8220c7 +29584c14 +d4f81e29 +0790f3e7 +dacd60ef +cc38e689 +0621f641 +3c286365 +3e6aa365 +b355f9d8 +2bb8d6ef +0846dd6d +f0b241d2 +2996b5a0 +325e677b +0b3aa905 +0bd64ae6 +148c26f1 +2715b788 +b4027e8c +956eac79 +f28dff13 +fc46f800 +482da299 +c964581c +081fd893 +d22a7485 +9c8052b2 +b5cb2fdd +a32f2eab +0ca6102c +433297a1 +d1afe650 +e8f4f066 +9289878a +52b0726c +dd7aac38 +a4799f78 +735799ad +b62e4846 +91349351 +7b851e26 +e885b966 +fda2e96c +2a749fe3 +87cf5823 +e342c69a +03ab9278 +7cbf23f8 +545ac20e +d3f5b9dd +74ba44a0 +817b25fd +dc34ecdf +279644e2 +df810a07 +a979da01 +ab339c2b +20c1d5de +1248d7ff +7fbe0b90 +efe01b90 +8ee9638f +61cb7e59 +47afbec3 +8ae00244 +17fed1d6 +e6e85b50 +542fb557 +68c46ba5 +263a2cf7 +1110c3cd +d6f02451 +e6ad0b8a +c80988a0 +8ac0e2aa +ccb68214 +329c14ec +a4ded4d0 +4c5ff31e +a201af9c +a7da54ad +d9d49bee +b0e58908 +899b9514 +ade7add8 +33e3668e +1b1ab093 +c779f34b +8564aba3 +4838416b +d835d72b +eab6e1cb +644029a4 +88363eb8 +2cd4dd92 +daaab929 +7cbe75c8 +9409337d +a78267ca +bafea339 +8b0d6193 +4362e44c +54083cdb +443218ba +ff8526c2 +7aa5e4d8 +31cbbde1 +d1ede902 +a65287d2 +d20d9096 +c0c34607 +1111eab0 +aba2d429 +a7fb7964 +2da9367f +522a5978 +0904e958 +b5758689 +b50f1c55 +862783db +07b29eb3 +8b5be681 +4a2abfac +f3eb1fab +e87aa88c +6f9e1d6d +1c9ecfb4 +181b89a8 +a5f38c85 +fe47293c +235ae748 +588b1a29 +5ee9db2e +74ef6e40 +02e89597 +36e3dad2 +85ee2756 +cb7b80aa +1e562015 +b97ad255 +7e441977 +0438d9be +b7d83895 +f8a19cf4 +2b921a8f +112eeeda +6939bb24 +00bf6232 +adfa5cf2 +c3b2ee7c +63641470 +a9ec7a75 +1b62ed6a +c09c86c5 +1f2613df +313a701b +2587bea7 +0fa56f90 +a78be5b5 +7e3f4677 +902266ef +31ac71d0 +8665e34b +f724787d +364c4776 +f064519f +b1d335b8 +de34670e +a235bfd4 +9bbda3bd +219983f6 +e1349f95 +089ff814 +6308aa8a +436a4992 +37a3247a +32cae770 +bebc048e +3c1c7398 +9f6118d9 +f6421466 +64088995 +579a1e80 +efee79ef +2d79a5c2 +412e1344 +5790ba1b +7ad99e5e +84797a09 +03ebd189 +6a16df8a +045ed9df +089d4895 +4d92b9fe +dd1573aa +7acdce8a +6af2b4f4 +f819fd28 +78f7d150 +4652bcec +5ba9d6a3 +f29b8a41 +84dc1b5e +ba72dde3 +f15df4ca +a25f58a2 +00f602ab +9e9f8d08 +0c7f3489 +0a894ad8 +55de58bd +90c3aca3 +b1dc0ce4 +66200f76 +385d83ad +397c0259 +b06ed720 +9998a9e9 +13be4a5d +b98e3ad7 +17377101 +0d24873a +1e41927f +9aff73cc +b6acb411 +8b692952 +282b4a8d +28537ad0 +f515527c +da0d46fd +5d9e1e62 +3328465b +bc265428 +2df84a3f +11bcc5c8 +3e374744 +c3da8ffd +eba09c5b +d368fb1e +ca166db1 +20e68c23 +9f496652 +0ae72099 +2bcf5969 +f55085ca +01bcb7b4 +ddac62ad +f88e78ed +5b656f5e +6767d5ad +f1971168 +bfa82efd +d1e5e844 +e7085824 +1a5f4840 +afe101bf +bd748a58 +2b3e3757 +19c89a5d +d93e761e +a0acd7a2 +928a8b58 +3cb4b3cc +dc6d169c +e78ad761 +0b3a129c +1d555052 +d5fd457b +2282ba36 +553043ba +63a870e3 +e9f46009 +0ccf4337 +0647470d +fc3d077e +7a57af83 +98216078 +d53bcb73 +dd967d87 +8f72805a +8b374924 +d658f27f +f9ef8452 +741e407c +bcf7a778 +de437902 +5cd1fa87 +51ecc5f7 +3f660758 +2102a29c +0abd278c +b5f98012 +dda36bd5 +90cd516f +c314fad1 +e16841ee +97b032b2 +0d63283f +c4791ee1 +1979ac75 +93ec3d04 +3e6a1f72 +9295aefe +5da0ebe1 +25843b87 +46a1255d +64d114ea +46b2d10a +ab312f2b +1adf065b +e24cd6ab +041ff96b +6f48116c +29f86ede +1c4e5447 +1e7451b4 +7767e181 +adc9624a +ed3aa7cc +9080870f +ee105cdd +5d4481e4 +b1ff430e +e4cff3d5 +1d98a769 +93da0b59 +afc923c3 +8cdd2665 +0144a7c9 +03f5fe51 +34f57ade +51dd9533 +d145fe0e +c1d76ce8 +e4c321bb +277dfae3 +d90c386f +7053c559 +8656698f +c78430d4 +76a646f5 +9ad28329 +c2a235f8 +6d8636f0 +50b080ae +a75ef5d2 +8c7a8c44 +95ed7813 +57a8d39e +f485f2ad +827bdd19 +958fe6c9 +82bcfd9c +48754d69 +8f28d765 +f68a4709 +3ab2699a +c03b201c +8f8b478e +8dd5f9f9 +690fca52 +46e55436 +0870e505 +1c7f888b +abd684b6 +2b8cd8a8 +d7120348 +e11f0ff3 +20c99a3f +cb14582a +ef8a6ca2 +e300cfbf +8acc5a60 +2cc9b90a +0f1c43a0 +2e484d6e +834bbb58 +57283968 +24a44a46 +c185d4d4 +fe6942df +78241922 +dd32397c +cafaebb8 +f0742f36 +958a6591 +fb43d3d3 +66b19cf1 +b56985fc +803fa46b +05241ff6 +1b1a9a39 +e0a060c3 +c2eaa787 +a92a430e +d9a09d17 +b2ce7ab6 +6ff43b92 +e2ebb87b +11b7f911 +86536265 +5b51f300 +8014f07e +34cd0c3d +7bdfaa78 +6d70b85e +6c963489 +b05a3a0a +1140a0bd +7f374858 +030a0170 +b7fe4a44 +69b3f395 +9f9130ff +6b8500ac +3cc681a8 +e844665d +9a22e752 +bbdbed9e +d151f508 +c8eac57e +f196144a +ba5c5ce1 +b2508747 +b966b95b +c7d59f4d +0504c47d +2690acf4 +a1d315a1 +3ff04592 +5c5dbcb9 +d9faf550 +2527ba04 +4ed80775 +d96c8c4a +23d68d82 +b5c21ad1 +5a387c29 +bf9928c3 +a9b113b7 +efbf63d6 +9af21072 +a0efecba +64617198 +075d44e9 +cbbfe159 +b9947487 +f67b5aa7 +45ac3892 +8c3c78d7 +c93d1614 +d3f9a8e5 +7d5a9763 +886e8d18 +15a9a076 +7d0b6b43 +23923ec4 +825a3909 +9132f136 +dbf09ffc +9b20d255 +6c9c5dc8 +59ae32d2 +da2ba2aa +60838f25 +7e823ea4 +97f4eaa9 +10e39f4d +dfbe24ee +c97c3aff +021510c8 +cd0be9fc +ca8eff26 +a287f685 +86fb5d10 +e2f20b46 +04c7a2c7 +faac48ec +71d8c158 +6cc215c7 +79fb995c +b98abfbc +c8576510 +da6266de +ce6a3120 +4bda9eaf +d189362c +2679adb8 +0428336b +43360a15 +98000e87 +fa29c7c7 +dc5a0147 +837cc524 +657e2308 +2652b8e3 +0aa8b34a +09107ee2 +4eeea9b2 +1ce9a74e +11d3758a +71e2a13f +a63fec7b +9c8813df +2351c128 +12b30f96 +4b306b80 +570f9440 +f8f365b1 +0b8308ed +ac51202c +bbb16478 +19518561 +1197a9b7 +cae28755 +2d06280d +de9c22c0 +75d239c4 +54c6eb7f +3be633af +d0e9e04a +4a57760c +b9839989 +232785fe +075116ce +9c778fc4 +1a6eeb43 +3ffc7bf6 +a12183af +e9e8e713 +f2525906 +fc9689ab +649963aa +38d00836 +06e162ed +e90b6997 +15c5f9cb +c945c6a9 +e2734fad +41e7fe8e +9ac8cc2b +ce955e5b +bee65d84 +3ccf885d +ef833ab1 +8aa043d3 +65c4e1fc +fe255a97 +59ebf7a8 +4cbbcbd5 +29dc625b +fcfb71ee +4d7d314f +a8d40523 +392a67df +1e28a98f +e4c415d7 +ed4db0c2 +16e1eeee +b280221c +2098419d +36f01db0 +3932152f +0f38a172 +c7e1fc8b +2a708365 +cb71df9d +cab71284 +29ec65ef +10a11f3d +c3e40dc4 +67cee664 +2d6ee757 +3ab965fb +b0231410 +e1affcb2 +5045bd56 +ef693171 +03d9076e +410b02a0 +3c3541bf +7b4829d4 +09b6476b +dc782236 +d252bd29 +ce9559ee +23b32a9e +4399b57c +5a7f659d +02e3db27 +ed834352 +2e7b11a0 +7a19568b +ab2c9e1d +389c5d46 +2b39e222 +cfb6eda6 +a2ed0e99 +162a77da +e739eedc +c2887365 +701038ff +10689ccc +400e18b0 +898a43e0 +deac4413 +ed39bb36 +4f88bc81 +1b3cf1f9 +122de3b6 +dc2ce159 +2191779c +01ba2c07 +d8090498 +7b5d93a9 +31d459e7 +50807b8d +a0fd4f1f +3146739c +40e3fd60 +304f15a9 +14b7e516 +944483f4 +c9746075 +246c56c2 +6ad7da6a +2b6fe56b +8482a3a2 +6df1792f +bd5de095 +0e8d3508 +db4014ee +d6013116 +3ee5a2fd +3487e3be +5f1749c4 +24fd4f91 +665afdf8 +02933e5c +733a054e +3dec6b33 +22ab2a32 +d9fc362c +cb9b2b7c +b961a03a +9838170d +73e2dbcd +a18ff64a +1962fbd7 +c25c151b +09de7c74 +dee473e4 +5cb003de +6f20669b +52b6bf0c +cbefd4d3 +86241a2b +fbbea5db +5488a473 +f2c5fe1c +47a00c85 +461d5b2d +72772a41 +6a336bf8 +9a4b11fa +219dcaac +9d44b020 +9bfaef72 +1e10b246 +231f019a +9147cc6c +c6f922ff +74a767b8 +9ecd67fa +0973e2a3 +ac9f5ad2 +bc00408c +e733d43a +73b7b47e +11e37e5a +819714b0 +34a21aea +4d801133 +3be04920 +e3817fba +34aca2fb +3bcda958 +bbef48d1 +9b225f7f +51ad00d7 +ff844058 +5ddb2275 +32c05c03 +b8afc6a3 +5a4edb10 +654948aa +764d27f0 +82ece0ed +315d9ef9 +456f57aa +5d98cbdd +b372c276 +5be0073e +3be953db +f3f373d6 +82e72704 +d0c43e2f +10c42d11 +88e67e52 +389effb2 +7a2afda8 +2504b9ad +a81cc9ed +9999915b +aff74ca2 +2a517ec3 +1e6e4e29 +05b711d8 +07ea8962 +3781a44d +e3a8790f +11bdcba7 +a292c9c9 +378b79f2 +8ccade8e +1c47c76b +038512aa +4a77be30 +a1146b0c +793d2e60 +c11d33b6 +071eefb9 +6432cedb +d686a341 +77a51428 +a8c19324 +536d3f28 +f9257c6c +b10ff914 +6bacd8f6 +d8b76d95 +7d8699cb +e388fcac +b7003d38 +7335195d +aa8a8b6e +8d32eb19 +b2f26c0d +8f9c646e +ada24594 +9ab8f718 +2371f779 +9d65b582 +9ef0776c +cf117996 +9b7d6551 +dc664a6e +46b1a0f3 +1ca723d8 +66200a10 +5cf77443 +b3b9d0c8 +cd5f725c +d254c2de +19c2cf67 +56a4dee8 +bde5d5fc +2a4b2c51 +657b32d9 +d065e5e9 +04d755b5 +7cb28fd3 +df0ea5ef +5fb3bd4c +5c9aa809 +77056cfe +7291aef3 +cb703ec0 +b7a7aaac +74a2767b +47ab1c03 +86dffc68 +c81f4b51 +ba49587d +788e8a06 +ff03a3eb +ccdf3094 +f31957da +48ed07df +394003c6 +4ef79120 +e01c8125 +2f976d4b +6b104bcc +cba25754 +31f82ea4 +353dc50c +b8318eec +c8609076 +9cdd4daf +337d046b +aeed687d +7963fa6a +21468a5d +65ac83c7 +c87328c5 +852b2e0a +36a9e77d +ce54f088 +aee62fea +f0058b47 +55043595 +2b475064 +4662dbe3 +19436270 +07589f60 +d9ccc6cc +62131b04 +e6dc50ed +f7facc4b +071a9922 +c0bebb39 +3585eca7 +06aec0e3 +eb01fdd8 +0bf9af2f +11bebd65 +bf2bc404 +4147de9b +0445ded5 +2218199c +cba03325 +aa280425 +abd32de3 +c7970f30 +82d1d366 +350ff65a +1e988920 +a1aece6a +8a8431d9 +62e40de8 +f7a9265a +d175a0a5 +b3511b33 +f04719c0 +ae69be01 +84a77eeb +bd6fe9f8 +0c59cfaa +bc9baed4 +23903936 +91169db1 +a75df49d +0ed36486 +2f65dbc7 +eb2924bd +e6ea9a9e +bf08b02c +97572d68 +880ecd5d +8a163a5b +4c9a1a8b +36581bd4 +2d9162cd +d7f62b37 +c3e568cd +7b65c6cb +c1cdc5ed +7416b2e3 +03060506 +6bb89447 +1e589e61 +27a7f361 +6f6a6d5c +a48cac0c +74a63c2e +18258563 +0ea44661 +0000b609 +031626ad +ddab76f6 +f9f3b390 +aa95640c +1049997c +6a55a65d +d9587004 +77ecc06a +37b12998 +13277ab3 +b2c17f8f +311b0d53 +2f88765b +1500cdac +90d367a6 +fe68b391 +1e2e61ac +bc785ecd +0cc376b5 +7f9336b1 +67b88b87 +8b4453e1 +452244e7 +2b9c8450 +5c951e65 +b2bc7ceb +871ca139 +c59bbc62 +8a202059 +a59fa45e +0af38ea2 +cabb7145 +f4e7823e +dee699c0 +5264f3db +cd06009a +f2b05e2a +ddcad96e +fb5e28d1 +e7184a7b +09de054e +a424cd43 +796322c9 +8df18a18 +b1fcf28b +692103a5 +70ac0c2b +12cba743 +ed01726a +d5890866 +f6862a5a +28ea11fc +aefd12fe +547ee33f +9435cadd +231812e8 +154420dc +bce97abb +249f6968 +1b5f3337 +d956badb +d098aeff +b8296ff0 +be114927 +cc6e2ec9 +c829d7d8 +64cc26bf +00a1cbca +d9608b8a +eec6719c +649725c8 +5949065b +881d267e +cef974d1 +2b13d4ae +55226dc7 +18cbb0f6 +fc036626 +0e71daa9 +1bf93a31 +866ec29e +20491ad8 +5cc3caf9 +6678f4b3 +c38b5d6a +6b13179f +5bd4f399 +c885e64c +c109734a +5899db6a +6bfbf5fa +c8fd87d0 +2017587d +95d0914f +b48f51d3 +b238bf49 +1891a0cb +d3007ec5 +10b5ebb1 +2d8fe06a +dbf3459d +0e7e2247 +6cc5be18 +6293e305 +d1ca4ab8 +b5563792 +b88af8b0 +d88e7e5a +61ac4230 +fa0809f3 +c844f314 +e8db3d63 +d4f143ce +c5c917d2 +1f81bdfd +2990fac6 +a832fca4 +c16e0123 +cf055626 +4db0b6dc +5b5951a7 +f33fa3a5 +c4fc6cb6 +80d16cb9 +69bf05ea +c8b97d31 +8fb01030 +c4b06b33 +18b963b0 +7e7211b5 +0fd82739 +42e43676 +4675eb1f +01d0a86e +d3f57b60 +986c1e24 +e4f09570 +3a71f8cf +86dedb87 +7a1e249e +5b9e9e8c +d75b6e77 +94c1cde3 +9ca43a1f +c0220dc0 +a6d86c25 +cd6f2429 +0256af96 +750aad48 +c159c119 +bdd235d4 +b9520ae0 +e692c283 +44f99c0c +f24fdba2 +1fd4b151 +094bac38 +6cf090b0 +0fff6959 +e3bc0aef +b841eab7 +7c999ff0 +35b12cb7 +1e79e93a +f6465f6d +79398894 +44f37a71 +c9d27373 +bba08ad1 +aaf45d9c +89918a3f +ab144ca6 +127e415e +d0c32b2a +53067e0d +e204abf8 +a19344a7 +ce6e1b5e +0d0b557c +d6e4c19c +fea76687 +d3d88ba0 +c6e15bd2 +4b091b67 +de4073b9 +75817a1c +c12000ad +b4a30fa9 +cb897442 +a87bae44 +ecd967f3 +82275c96 +4b96da1c +928e9800 +d2b71557 +4fd85051 +78b96d2e +6c23beec +8d3a0849 +cc1838f4 +e8eebfd1 +03d2a085 +3c8aecbe +af64ed2c +327cfef6 +a43e6955 +3261eed7 +6770fa8e +7ff21c06 +6c92d43f +a52003f5 +3799ba62 +402770ae +55c6c14b +e9b72510 +2acb066e +124e3a1d +3f0abe8b +4efab6b6 +ad9cbd8a +60b9a3a6 +62699f7c +2b3e546d +a3fc80ac +cc1f64d8 +0469f17c +863f944f +ee102f68 +e4410b64 +6c6c4bda +43d35615 +55e22b59 +220f70f1 +7a959617 +91fd72d6 +2fb5af31 +092128e8 +55a47b80 +516e1b56 +38d89a00 +38f13c60 +a679554c +d464434a +e482a2fc +5d8809c4 +04d6af26 +3406837f +ddec3f41 +22458b59 +6352da82 +f5a3fd55 +2758aacb +157efe61 +2ebeaa42 +c34ec345 +6588a434 +d51ea8c5 +7f21000a +75c21e7e +41877a3a +847689a6 +42427155 +19f56d39 +dcf83f8a +c734a5fe +dd666e6f +e98e43a4 +7a8d7c71 +7b0fb5fa +20273cda +46f9f916 +66dbe40f +556c2e1a +50abeab5 +ca14b555 +02485fc4 +02202f94 +cb6aafaf +e24eea2e +dec01e2f +4df3d62d +e012f684 +ee4e2e75 +c14787d3 +28fdd409 +3d39709b +d101b2d0 +3e482dfb +0772c298 +ec07ce7c +411489ea +3412346e +f511c1f1 +410883a6 +d3b19a6a +28ec26f2 +a32a7783 +2011bed2 +4c35d8c5 +827165f9 +a849c2e6 +0b46964c +95b0e5a3 +401ea927 +2d3d9eb8 +a4e6ab23 +3189c614 +09260973 +789aa8a9 +5dddf744 +85e0ae0a +2a0c03b6 +cf973f6b +86bc9114 +28e8a7a1 +5a5f0c1d +e680535f +0f0c2922 +371eb26e +8bef77c9 +918dd6c6 +a4e6bed8 +79438778 +ca8239c4 +17506e79 +dd771bf8 +300a8802 +e9ab85b3 +2858d091 +61eb77ec +3a069a9f +6650d79d +1f59b152 +da8153dd +bfeb75ce +3e514037 +53f7e2b8 +1d4c89e2 +9f506442 +60c76f54 +a63e5a0c +022a4717 +780a6fc1 +468158f6 +bdbd33bb +89eec336 +b075406e +45bff5c6 +c18dea41 +489ee18d +41c36140 +af215271 +d283b908 +527ff067 +f6ec4838 +10304e9b +ba2d01c6 +4fb09eec +ec8b26bc +8f60b242 +d830b438 +627cb25b +655282e6 +3820c947 +9203d9dd +89fbf1eb +426c958a +90e2335a +365e2548 +ef2ca3b1 +c1140a42 +fa0d36b0 +3fbf5219 +b0fddbd1 +d353e222 +31f66b47 +40200b90 +58b6f113 +d95d953b +7a9b39b2 +4eae5bf2 +6d3b1ff0 +446f2980 +e593bddf +9c6457e5 +286f4e9d +089e2adb +df197145 +ba83a11d +ff20ab0f +b34a029d +2408d3c7 +fdc86555 +b4768cac +69d719f9 +ab47ac81 +357cd836 +e6cc5d61 +dd499e51 +0bb24138 +21ed356e +f1a1c6a2 +053f59b2 +0ef658da +4c71aeae +eee9afa0 +308853e0 +a03c2b1a +f2780d49 +2cb4e105 +6c051c69 +975c6185 +9deba20d +a175751e +b6fdcb65 +411739bf +cc392a5b +76522248 +adf225e7 +bf45f5a0 +dd325ac8 +47b4876d +9030abf3 +b287bed0 +ee4ca364 +5660e044 +46e8c526 +2760443c +5c20a0bb +cba30a82 +7503917d +eadd85a1 +50137615 +ad5b7e59 +40d9e5ff +dae69a04 +bbb3fe4d +9087fb0a +494f6dbb +679d9aed +d79bde61 +31d6656e +259b44ad +82bc827a +e88dfe59 +867a7c2a +c20ca285 +95c6d71f +bafb59d9 +108c5f3b +3dea88e4 +1f716ac1 +e4ee2287 +add80682 +424a125e +25632c24 +d375b0f3 +6e7b6796 +02fb95b2 +1a681516 +5a550aa5 +1b52f075 +f1cbfe7b +9d3e1b33 +a756e5cb +bbd42d86 +7b7af22c +56b81d63 +2a133f01 +cd1c8b52 +d590d0a1 +7bd38f79 +b85181f7 +3f5ba846 +52b150e9 +a415ec7d +cf91813c +b192cd3f +a2b9b601 +e6437dab +797fa782 +122dbe9d +cb214fba +aaa17521 +c487cc85 +c1350318 +fb032525 +060c3de4 +8467439c +049417ac +5a8ff13c +090b1078 +37191272 +3eac8725 +1b4c2ccd +632bb26f +08b49dce +5d652c76 +07fefb7b +6eff7763 +9a38fc5c +f2e7c073 +56d615ff +9e21288d +9b1c9187 +2156e693 +112df9e3 +181a0a1f +1712c019 +585f6095 +bd7ec8f3 +2739caf1 +8f16b886 +c8b8b1ef +50071c5d +55902795 +89b720ea +248c49dd +4ea417f0 +04794769 +ba0fdce1 +23c994f8 +0085888d +497a5ce9 +b68413de +ab6c1141 +950eca47 +cba86146 +2b07ba50 +bc862da0 +b517ef89 +12b31ad8 +9e966640 +29f15151 +8958ea86 +55f14abc +c808394b +0c70dd03 +a1578a4d +5fd9486a +92dbc1fe +f5d89d86 +44ef693e +62bf1790 +4d4bf948 +abe493ef +607d31b7 +91168cee +d1a98aa9 +2816366f +41575282 +99da89f9 +29112fab +4124657d +9aaf1fec +8aac8f19 +a1eb2778 +6160382e +c19d94fa +43969757 +e5672da9 +7a8791e6 +6bfe8be5 +2f3e93c4 +d301024d +d86eafb9 +9fa5ca6d +e58740d3 +923438b9 +770c69c8 +db44431c +fb7f5dc9 +78e9ee30 +1e526b25 +0e5de9dc +a5121e23 +bfad675a +fe63d6cb +20bd0d52 +4a5848f7 +b393a018 +47ca7c92 +014e08b4 +126a574a +36623baf +357e489e +838e31de +fc028baa +f222f571 +e7e91619 +d11769ec +b75f4d19 +f702e4fb +ae42bc5b +be552329 +8892d999 +f13b00d5 +51b504c3 +36e9d727 +e16d78ed +a258ceff +8d722ae2 +8766ab2f +376b58ee +2ad181f5 +2c938d26 +4dd24b07 +9e6f5fdc +92d16d02 +f0c3f46c +28031323 +30d3d236 +c7106fe0 +7785805a +4ff26dab +d16a2b56 +89defa2a +c3be0e67 +e89726b4 +612f9322 +bb122c07 +cb6bd938 +5ebf12b2 +60e679f8 +b4819292 +75e2adb9 +f57e901f +8fff14ab +bf30a24c +35f0a7ac +5eb6aece +264f0e57 +b06c7da1 +77f61ecb +bd3343b4 +b2f41a7a +b8f07015 +3beb354e +940e3b4f +215f49da +ea27e444 +7201a7f7 +bdb95c06 +4b2fb82c +31760aa7 +4b2c5a0e +e4533514 +8c80b5fe +eb09d60f +c76cd9d9 +565d0a32 +acb235a1 +33d53502 +ef6779a8 +28548acf +269a69bd +dd39529d +be7d048a +ef391682 +ec24bd58 +38f999cb +7aafe545 +e6a3e162 +a4306769 +3b457911 +d47d1a14 +713fda8d +ca687dc6 +ee86d80e +f2f3fa1d +e2524301 +93ac9246 +ddf21554 +05f56bd8 +80674349 +4e285278 +9d3955d3 +06f03350 +f75488fd +e03f4006 +20571bbb +86ab8fd1 +2c4e2383 +e4d8efe6 +ca4267a0 +91d896a7 +d76cac39 +add444ba +a15c6401 +ba67cde1 +9be01a0e +74b3cff8 +17bc281e +18cc629d +aad1d4fc +368798b9 +07e179af +06767ed7 +761662c5 +4b905d44 +8835b518 +85d22e1b +38a2045d +1f6458a9 +8b9bbbcf +c5df35b8 +abf894b0 +5c09c0f5 +8211e49e +4f313c29 +b00e9e75 +51f33985 +353ddb2a +de45bba2 +686c5dde +48d82067 +03f883db +6b9331c8 +9f0af865 +3b147d10 +8517647d +b1db1acb +2c9f2ea0 +00b76add +89dc1d8d +a1522997 +7007abd5 +3f583813 +64052d6c +d18ebb96 +1b1e2d0e +0b9107e7 +de550793 +8a60936c +4132b582 +bd93f5bb +985da675 +59620b6a +8d3e1635 +fc1e23c5 +7d641fee +6dd3f988 +dbd0f659 +55c09e32 +c705a60d +dc61d049 +578fad71 +98733df5 +422572f1 +d36a583a +eec09c7e +242390d3 +f853ab7d +ab68f87b +cf8ba92e +0ed5f511 +f4fc3bee diff --git a/memtest/memtest.bin b/memtest/memtest.bin index 264d54c..b6be43b 100644 Binary files a/memtest/memtest.bin and b/memtest/memtest.bin differ diff --git a/memtest/memtest.v b/memtest/memtest.v index 379a9c9..3bff973 100644 --- a/memtest/memtest.v +++ b/memtest/memtest.v @@ -23,7 +23,7 @@ module memtest ( assign clk = clkosc; initial begin - $readmemh("empty.init", mem); + $readmemh("mem.init", mem); end always @(posedge clk) begin diff --git a/memtest/top.rpt b/memtest/top.rpt index 2d5e125..f2d9cde 100644 --- a/memtest/top.rpt +++ b/memtest/top.rpt @@ -37,7 +37,7 @@ Successfully finished Verilog frontend. 2. Executing SYNTH_ICE40 pass. 2.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/cells_sim.v' to AST representation. +Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/cells_sim.v' to AST representation. Generating RTLIL representation for module `\SB_IO'. Generating RTLIL representation for module `\SB_GB_IO'. Generating RTLIL representation for module `\SB_GB'. @@ -437,7 +437,7 @@ Removed top 21 bits (of 32) from port Y of cell memtest.$add$memtest.v:30$67 ($a 2.7.7. Executing TECHMAP pass (map to technology primitives). 2.7.7.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/cmp2lut.v' to AST representation. +Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/cmp2lut.v' to AST representation. Generating RTLIL representation for module `\_90_lut_cmp_'. Successfully finished Verilog frontend. No more expansions possible. @@ -616,7 +616,7 @@ Processing memtest.mem: 2.9. Executing TECHMAP pass (map to technology primitives). 2.9.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v' to AST representation. +Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v' to AST representation. Generating RTLIL representation for module `\$__ICE40_RAM4K'. Generating RTLIL representation for module `\$__ICE40_RAM4K_M0'. Generating RTLIL representation for module `\$__ICE40_RAM4K_M123'. @@ -626,122 +626,598 @@ Successfully finished Verilog frontend. Parameter \CFG_ABITS = 11 Parameter \CFG_DBITS = 2 Parameter \CLKPOL2 = 1 -Parameter \INIT = 4096'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Generating RTLIL representation for module `$paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$f34e4fc64748f57bac4a16b7abe1ff9627c0d713\$__ICE40_RAM4K_M123'. 2.9.3. Continuing TECHMAP pass. -Mapping memtest.mem.0.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.1.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.2.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.3.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.4.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.5.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.6.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. +Mapping memtest.mem.0.0.0 using $paramod$f34e4fc64748f57bac4a16b7abe1ff9627c0d713\$__ICE40_RAM4K_M123. 2.9.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. Parameter \CFG_ABITS = 11 Parameter \CFG_DBITS = 2 Parameter \CLKPOL2 = 1 -Parameter \INIT = 4096'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011 -Generating RTLIL representation for module `$paramod$214e7d8d8d18244b8a4e8f431eec90e369896474\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$d29ea10a8c2254f5db658036dae08160f73747d3\$__ICE40_RAM4K_M123'. 2.9.5. Continuing TECHMAP pass. -Mapping memtest.mem.15.0.0 using $paramod$214e7d8d8d18244b8a4e8f431eec90e369896474\$__ICE40_RAM4K_M123. -Mapping memtest.mem.14.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.13.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.12.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.11.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.10.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.9.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.8.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. -Mapping memtest.mem.7.0.0 using $paramod$7b81346494518e454acabe0976121af63a9de2c6\$__ICE40_RAM4K_M123. +Mapping memtest.mem.1.0.0 using $paramod$d29ea10a8c2254f5db658036dae08160f73747d3\$__ICE40_RAM4K_M123. -2.9.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Generating RTLIL representation for module `$paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K'. +2.9.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$54b8ee85936b5b862f32ccf0024a595c36a339b2\$__ICE40_RAM4K_M123'. 2.9.7. Continuing TECHMAP pass. -Mapping memtest.mem.0.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.1.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.2.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.3.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.4.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.5.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.6.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. +Mapping memtest.mem.2.0.0 using $paramod$54b8ee85936b5b862f32ccf0024a595c36a339b2\$__ICE40_RAM4K_M123. -2.9.8. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +2.9.8. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$70b913e53302824162354964047ff9a7c4f55ae7\$__ICE40_RAM4K_M123'. + +2.9.9. Continuing TECHMAP pass. +Mapping memtest.mem.3.0.0 using $paramod$70b913e53302824162354964047ff9a7c4f55ae7\$__ICE40_RAM4K_M123. + +2.9.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter \INIT = 4096'1101010011000000100101001010100111111011100101111111011101001101011010100001000111000111110110000000000111000010010110100100001000111001000000101111111111000011011011110110111000011010011101010101100010011001010110011001011010000011000111010010111001101011100000011001100100111010101101001110110001111101010011101000110000011100000101100111010011110000000001101110011110011101000010111011110101110000111111011101101010000111011101010100100101101001101011011001100111000000001111010011000100100000001001100100010000111100011000111000011111010111000111101101100101000000011100111101100101111000100101110000101010100011011110001010000110100111101001101001011000000100111011111001011010011101100100010111111110000110010110010000010001111011010110101101011111010101111110111010101101110101010110100010101001100000011001011001001111001111101100011000011101111011000100111001111010111001110011011111101100011011100101101001001011101011010001101001100000111010101010111111011010000100010011100111010101101000000011101010011011111111011011010010111100111010001110111111000100000011110110101010010100101100001010011001000011000010010001001000111000110010111111111001011100111011010010011011100011010011101001000001110010100101011100000110011010001100011011001110110111110001010000110110100100100111110111011010001110111010010011100011010100110111111011110100101010101010000100001010010111111011100111101011011000101011100110001011001110101001011000011000110110011000000001001000001111101010011111011001111001000010010011101010100100000111100001100110001110111010010100100011000001011011011010011100100101100110111101001101101000011101000011010000111011101100011111001110001110100000010010011011110101011111110011100011000010101010000001011101011000011011101000001011001001011101111101000011110101000101000100111100111011111011101011100101110100010110100001010101111001101111111110111110001100111000100000110001101101011000100000001010111110000110110010011110110010000110001110111100011110111100111010110110011101111001000100011101101000110101011111110111000011011101001100110000001110111001100110100111110101100101101101011011010100000111100111011011110001010101101000010111010101101111100111100001100010111001011000110101111111111110010110001111011000110101000000010011110111000101110110110011010111101011011010011001110101010010110110110100100011101011101001101101011011000111001000001000010001000011110000100100111001000101000011011100000101011110010000111001000010000010000011100100111001111100101100010011011111010110001001110111011011000010111100000001001001110001111101011001101100000100100111100001010010010010011010111011111111110100111110010100100101101011010001111110110100100010011001110011101101100111000010010001110011111111000011100100101110111111101011100100000010010111001011010100100001110011110110001010101010100100111011011010011110111100000010000110000001111010000101001011010001110110101011010110010000110011000110001111111111010111001101101001111000111001100010001011101000000101100000111001101110110100011101001111101011001001011001001010001101010010000000011111110101011001011101111100100101011100111100001010001100110011011101101010111111110100101000000100011011101000110101101100011100101100101100101110000000100011001011101001110101011001111110100011100000000111000001100100100110000100011001101100010101101001000011000001000110111010100110010011000111110010111101010110011110000010100110110100111111010110101111101111101111100110010000000100110000001000001011111101011011100110010100011001101101110110111100101111111111000110110100101011011010101110000101001000101011100011010011010110001101001001011100100000010111101010011100000111010010110000010111111001001111011111011101000010110010111010000110001110010000010010110101111000010010011001011110111100001101100101001000011100010011000101011011110100010101010111000000011011001100101101101011001111001011001000100011101110111110010000110100101101011101000111101010010100000000101111101000011000111001110011100001100000100000111000010010100100011111111111010001100111000101000011111010001100001000101010011100101011110101001001 +Generating RTLIL representation for module `$paramod$80133ed0e502a2cbc0fb9f4f4723ae90ee3bd1b8\$__ICE40_RAM4K_M123'. + +2.9.11. Continuing TECHMAP pass. +Mapping memtest.mem.4.0.0 using $paramod$80133ed0e502a2cbc0fb9f4f4723ae90ee3bd1b8\$__ICE40_RAM4K_M123. + +2.9.12. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$f97a514d2b440ef4e918056000ac32a28b520f0d\$__ICE40_RAM4K_M123'. + +2.9.13. Continuing TECHMAP pass. +Mapping memtest.mem.5.0.0 using $paramod$f97a514d2b440ef4e918056000ac32a28b520f0d\$__ICE40_RAM4K_M123. + +2.9.14. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$a1c7297c5d07698b21ac139a98895b267b24d56b\$__ICE40_RAM4K_M123'. + +2.9.15. Continuing TECHMAP pass. +Mapping memtest.mem.6.0.0 using $paramod$a1c7297c5d07698b21ac139a98895b267b24d56b\$__ICE40_RAM4K_M123. + +2.9.16. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$c02feb6cffe46223ae1ed3b34a9ebbbf5b325211\$__ICE40_RAM4K_M123'. + +2.9.17. Continuing TECHMAP pass. +Mapping memtest.mem.15.0.0 using $paramod$c02feb6cffe46223ae1ed3b34a9ebbbf5b325211\$__ICE40_RAM4K_M123. + +2.9.18. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$7b8cb1da04fed3d66ce255399d919ce21f80702b\$__ICE40_RAM4K_M123'. + +2.9.19. Continuing TECHMAP pass. +Mapping memtest.mem.14.0.0 using $paramod$7b8cb1da04fed3d66ce255399d919ce21f80702b\$__ICE40_RAM4K_M123. + +2.9.20. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$8073cf2e09cf7860fd8803aa0caf58a2b7b19846\$__ICE40_RAM4K_M123'. + +2.9.21. Continuing TECHMAP pass. +Mapping memtest.mem.13.0.0 using $paramod$8073cf2e09cf7860fd8803aa0caf58a2b7b19846\$__ICE40_RAM4K_M123. + +2.9.22. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$7601470b7257c750062785939abb2cd6c78f255e\$__ICE40_RAM4K_M123'. + +2.9.23. Continuing TECHMAP pass. +Mapping memtest.mem.12.0.0 using $paramod$7601470b7257c750062785939abb2cd6c78f255e\$__ICE40_RAM4K_M123. + +2.9.24. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$ed9f319bde7ce6671112de05e5b97d1e60af8ddd\$__ICE40_RAM4K_M123'. + +2.9.25. Continuing TECHMAP pass. +Mapping memtest.mem.11.0.0 using $paramod$ed9f319bde7ce6671112de05e5b97d1e60af8ddd\$__ICE40_RAM4K_M123. + +2.9.26. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$445d200a8547cde57317e073680b424a372d97e1\$__ICE40_RAM4K_M123'. + +2.9.27. Continuing TECHMAP pass. +Mapping memtest.mem.10.0.0 using $paramod$445d200a8547cde57317e073680b424a372d97e1\$__ICE40_RAM4K_M123. + +2.9.28. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$fd670452d9656a3e5387849f125a1ac30d4f6a6c\$__ICE40_RAM4K_M123'. + +2.9.29. Continuing TECHMAP pass. +Mapping memtest.mem.9.0.0 using $paramod$fd670452d9656a3e5387849f125a1ac30d4f6a6c\$__ICE40_RAM4K_M123. + +2.9.30. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$cfd4752fe4396a11f4067e795ded473203abc81d\$__ICE40_RAM4K_M123'. + +2.9.31. Continuing TECHMAP pass. +Mapping memtest.mem.8.0.0 using $paramod$cfd4752fe4396a11f4067e795ded473203abc81d\$__ICE40_RAM4K_M123. + +2.9.32. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$5009aff4b1d58846e35b9e6a574f5b3bbebc226b\$__ICE40_RAM4K_M123'. + +2.9.33. Continuing TECHMAP pass. +Mapping memtest.mem.7.0.0 using $paramod$5009aff4b1d58846e35b9e6a574f5b3bbebc226b\$__ICE40_RAM4K_M123. + +2.9.34. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. Parameter \READ_MODE = 3 Parameter \WRITE_MODE = 3 Parameter \NEGCLK_R = 1'0 Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Generating RTLIL representation for module `$paramod$6b65790f63d533af747fa735f04ceab83c6a4652\$__ICE40_RAM4K'. +Parameter \INIT_0 = 256'1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111 +Parameter \INIT_1 = 256'0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001 +Parameter \INIT_2 = 256'0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000 +Parameter \INIT_3 = 256'0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000 +Parameter \INIT_4 = 256'0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000 +Parameter \INIT_5 = 256'0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010 +Parameter \INIT_6 = 256'0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001 +Parameter \INIT_7 = 256'0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011 +Parameter \INIT_8 = 256'1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001 +Parameter \INIT_9 = 256'1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011 +Parameter \INIT_A = 256'1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010 +Parameter \INIT_B = 256'1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010 +Parameter \INIT_C = 256'1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101 +Parameter \INIT_D = 256'0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111 +Parameter \INIT_E = 256'1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111 +Parameter \INIT_F = 256'1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100 +Generating RTLIL representation for module `$paramod$cbb4b05670fab0c57b8d232886128f1d03d9d12d\$__ICE40_RAM4K'. -2.9.9. Continuing TECHMAP pass. -Mapping memtest.mem.15.0.0 using $paramod$6b65790f63d533af747fa735f04ceab83c6a4652\$__ICE40_RAM4K. -Mapping memtest.mem.14.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.13.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.12.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.11.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.10.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.9.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.8.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. -Mapping memtest.mem.7.0.0 using $paramod$4ccb0d48d9d6e7f5f9abc633f56014afb4bede02\$__ICE40_RAM4K. +2.9.35. Continuing TECHMAP pass. +Mapping memtest.mem.0.0.0 using $paramod$cbb4b05670fab0c57b8d232886128f1d03d9d12d\$__ICE40_RAM4K. + +2.9.36. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001 +Parameter \INIT_1 = 256'0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010 +Parameter \INIT_2 = 256'1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111 +Parameter \INIT_3 = 256'1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010 +Parameter \INIT_4 = 256'1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110 +Parameter \INIT_5 = 256'0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100 +Parameter \INIT_6 = 256'0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111 +Parameter \INIT_7 = 256'1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100 +Parameter \INIT_8 = 256'0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110 +Parameter \INIT_9 = 256'1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000 +Parameter \INIT_A = 256'0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001 +Parameter \INIT_B = 256'1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000 +Parameter \INIT_C = 256'1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101 +Parameter \INIT_D = 256'1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011 +Parameter \INIT_E = 256'1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011 +Parameter \INIT_F = 256'1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101 +Generating RTLIL representation for module `$paramod$b73ffb7dfcbd93c60e85ae9ac7150e85e2cd1c23\$__ICE40_RAM4K'. + +2.9.37. Continuing TECHMAP pass. +Mapping memtest.mem.1.0.0 using $paramod$b73ffb7dfcbd93c60e85ae9ac7150e85e2cd1c23\$__ICE40_RAM4K. + +2.9.38. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111 +Parameter \INIT_1 = 256'0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101 +Parameter \INIT_2 = 256'1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011 +Parameter \INIT_3 = 256'0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100 +Parameter \INIT_4 = 256'0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110 +Parameter \INIT_5 = 256'0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001 +Parameter \INIT_6 = 256'1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100 +Parameter \INIT_7 = 256'0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010 +Parameter \INIT_8 = 256'1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111 +Parameter \INIT_9 = 256'0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011 +Parameter \INIT_A = 256'0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001 +Parameter \INIT_B = 256'0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110 +Parameter \INIT_C = 256'0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111 +Parameter \INIT_D = 256'0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010 +Parameter \INIT_E = 256'1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000 +Parameter \INIT_F = 256'1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110 +Generating RTLIL representation for module `$paramod$f8c821864499ec5a32f2425089cf17912c41d135\$__ICE40_RAM4K'. + +2.9.39. Continuing TECHMAP pass. +Mapping memtest.mem.2.0.0 using $paramod$f8c821864499ec5a32f2425089cf17912c41d135\$__ICE40_RAM4K. + +2.9.40. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010 +Parameter \INIT_1 = 256'0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100 +Parameter \INIT_2 = 256'1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000 +Parameter \INIT_3 = 256'0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100 +Parameter \INIT_4 = 256'0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101 +Parameter \INIT_5 = 256'1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101 +Parameter \INIT_6 = 256'1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100 +Parameter \INIT_7 = 256'0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101 +Parameter \INIT_8 = 256'1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110 +Parameter \INIT_9 = 256'1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101 +Parameter \INIT_A = 256'1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001 +Parameter \INIT_B = 256'0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100 +Parameter \INIT_C = 256'1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110 +Parameter \INIT_D = 256'1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010 +Parameter \INIT_E = 256'1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101 +Parameter \INIT_F = 256'1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111 +Generating RTLIL representation for module `$paramod$d20d03106414b31f6d01ddd06e4caced3bed4d08\$__ICE40_RAM4K'. + +2.9.41. Continuing TECHMAP pass. +Mapping memtest.mem.3.0.0 using $paramod$d20d03106414b31f6d01ddd06e4caced3bed4d08\$__ICE40_RAM4K. + +2.9.42. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101 +Parameter \INIT_1 = 256'1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100 +Parameter \INIT_2 = 256'1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100 +Parameter \INIT_3 = 256'1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000 +Parameter \INIT_4 = 256'0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100 +Parameter \INIT_5 = 256'0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001 +Parameter \INIT_6 = 256'1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010 +Parameter \INIT_7 = 256'1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010 +Parameter \INIT_8 = 256'1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011 +Parameter \INIT_9 = 256'0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111 +Parameter \INIT_A = 256'0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101 +Parameter \INIT_B = 256'0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011 +Parameter \INIT_C = 256'0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110 +Parameter \INIT_D = 256'0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101 +Parameter \INIT_E = 256'1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110 +Parameter \INIT_F = 256'1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011 +Generating RTLIL representation for module `$paramod$8b50928abdad807defadcd395783734b2b08c84a\$__ICE40_RAM4K'. + +2.9.43. Continuing TECHMAP pass. +Mapping memtest.mem.4.0.0 using $paramod$8b50928abdad807defadcd395783734b2b08c84a\$__ICE40_RAM4K. + +2.9.44. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011 +Parameter \INIT_1 = 256'0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011 +Parameter \INIT_2 = 256'1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010 +Parameter \INIT_3 = 256'0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101 +Parameter \INIT_4 = 256'0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101 +Parameter \INIT_5 = 256'0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101 +Parameter \INIT_6 = 256'1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111 +Parameter \INIT_7 = 256'0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110 +Parameter \INIT_8 = 256'1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010 +Parameter \INIT_9 = 256'1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101 +Parameter \INIT_A = 256'0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110 +Parameter \INIT_B = 256'1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011 +Parameter \INIT_C = 256'0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011 +Parameter \INIT_D = 256'1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111 +Parameter \INIT_E = 256'1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100 +Parameter \INIT_F = 256'1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111 +Generating RTLIL representation for module `$paramod$24d504412f6d7aa1e0f7de987e7f387f85a4094d\$__ICE40_RAM4K'. + +2.9.45. Continuing TECHMAP pass. +Mapping memtest.mem.5.0.0 using $paramod$24d504412f6d7aa1e0f7de987e7f387f85a4094d\$__ICE40_RAM4K. + +2.9.46. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010 +Parameter \INIT_1 = 256'1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001 +Parameter \INIT_2 = 256'0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111 +Parameter \INIT_3 = 256'0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111 +Parameter \INIT_4 = 256'1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010 +Parameter \INIT_5 = 256'0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111 +Parameter \INIT_6 = 256'1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101 +Parameter \INIT_7 = 256'1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011 +Parameter \INIT_8 = 256'0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100 +Parameter \INIT_9 = 256'0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000 +Parameter \INIT_A = 256'0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100 +Parameter \INIT_B = 256'1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010 +Parameter \INIT_C = 256'1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011 +Parameter \INIT_D = 256'0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000 +Parameter \INIT_E = 256'0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001 +Parameter \INIT_F = 256'1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001 +Generating RTLIL representation for module `$paramod$3ac7d8d7a788c2eee8ce9642651229ad12a4c75d\$__ICE40_RAM4K'. + +2.9.47. Continuing TECHMAP pass. +Mapping memtest.mem.6.0.0 using $paramod$3ac7d8d7a788c2eee8ce9642651229ad12a4c75d\$__ICE40_RAM4K. + +2.9.48. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011 +Parameter \INIT_1 = 256'1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001 +Parameter \INIT_2 = 256'1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110 +Parameter \INIT_3 = 256'1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000 +Parameter \INIT_4 = 256'1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001 +Parameter \INIT_5 = 256'1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111 +Parameter \INIT_6 = 256'1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101 +Parameter \INIT_7 = 256'1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011 +Parameter \INIT_8 = 256'0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100 +Parameter \INIT_9 = 256'0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110 +Parameter \INIT_A = 256'1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010 +Parameter \INIT_B = 256'0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010 +Parameter \INIT_C = 256'1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101 +Parameter \INIT_D = 256'1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010 +Parameter \INIT_E = 256'0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011 +Parameter \INIT_F = 256'1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101 +Generating RTLIL representation for module `$paramod$be7ac40a51c787e38583a6712985561e11fbc125\$__ICE40_RAM4K'. + +2.9.49. Continuing TECHMAP pass. +Mapping memtest.mem.15.0.0 using $paramod$be7ac40a51c787e38583a6712985561e11fbc125\$__ICE40_RAM4K. + +2.9.50. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001 +Parameter \INIT_1 = 256'0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010 +Parameter \INIT_2 = 256'0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111 +Parameter \INIT_3 = 256'0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000 +Parameter \INIT_4 = 256'1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101 +Parameter \INIT_5 = 256'1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100 +Parameter \INIT_6 = 256'0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000 +Parameter \INIT_7 = 256'1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110 +Parameter \INIT_8 = 256'1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100 +Parameter \INIT_9 = 256'1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100 +Parameter \INIT_A = 256'0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000 +Parameter \INIT_B = 256'0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110 +Parameter \INIT_C = 256'0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100 +Parameter \INIT_D = 256'0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011 +Parameter \INIT_E = 256'1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101 +Parameter \INIT_F = 256'1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101 +Generating RTLIL representation for module `$paramod$43ee040a7a0e0cae38b99891f31467acf906d27f\$__ICE40_RAM4K'. + +2.9.51. Continuing TECHMAP pass. +Mapping memtest.mem.14.0.0 using $paramod$43ee040a7a0e0cae38b99891f31467acf906d27f\$__ICE40_RAM4K. + +2.9.52. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011 +Parameter \INIT_1 = 256'1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011 +Parameter \INIT_2 = 256'0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110 +Parameter \INIT_3 = 256'0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101 +Parameter \INIT_4 = 256'0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110 +Parameter \INIT_5 = 256'0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111 +Parameter \INIT_6 = 256'0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000 +Parameter \INIT_7 = 256'1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000 +Parameter \INIT_8 = 256'0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100 +Parameter \INIT_9 = 256'1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110 +Parameter \INIT_A = 256'1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010 +Parameter \INIT_B = 256'0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001 +Parameter \INIT_C = 256'0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110 +Parameter \INIT_D = 256'1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011 +Parameter \INIT_E = 256'1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101 +Parameter \INIT_F = 256'0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111 +Generating RTLIL representation for module `$paramod$c88d7c4436960e4714f331f1468ed0db59974b0d\$__ICE40_RAM4K'. + +2.9.53. Continuing TECHMAP pass. +Mapping memtest.mem.13.0.0 using $paramod$c88d7c4436960e4714f331f1468ed0db59974b0d\$__ICE40_RAM4K. + +2.9.54. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100 +Parameter \INIT_1 = 256'1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110 +Parameter \INIT_2 = 256'0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010 +Parameter \INIT_3 = 256'1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100 +Parameter \INIT_4 = 256'1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000 +Parameter \INIT_5 = 256'0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000 +Parameter \INIT_6 = 256'1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001 +Parameter \INIT_7 = 256'1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101 +Parameter \INIT_8 = 256'0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101 +Parameter \INIT_9 = 256'0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110 +Parameter \INIT_A = 256'0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000 +Parameter \INIT_B = 256'1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001 +Parameter \INIT_C = 256'1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101 +Parameter \INIT_D = 256'0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100 +Parameter \INIT_E = 256'0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100 +Parameter \INIT_F = 256'0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010 +Generating RTLIL representation for module `$paramod$00cfa1a09c31f8672099a8eedc17696b3908e1c3\$__ICE40_RAM4K'. + +2.9.55. Continuing TECHMAP pass. +Mapping memtest.mem.12.0.0 using $paramod$00cfa1a09c31f8672099a8eedc17696b3908e1c3\$__ICE40_RAM4K. + +2.9.56. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011 +Parameter \INIT_1 = 256'1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011 +Parameter \INIT_2 = 256'1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101 +Parameter \INIT_3 = 256'0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111 +Parameter \INIT_4 = 256'1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111 +Parameter \INIT_5 = 256'0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110 +Parameter \INIT_6 = 256'0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110 +Parameter \INIT_7 = 256'0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101 +Parameter \INIT_8 = 256'0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010 +Parameter \INIT_9 = 256'1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101 +Parameter \INIT_A = 256'0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000 +Parameter \INIT_B = 256'1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000 +Parameter \INIT_C = 256'0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010 +Parameter \INIT_D = 256'1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001 +Parameter \INIT_E = 256'0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011 +Parameter \INIT_F = 256'1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010 +Generating RTLIL representation for module `$paramod$d02f8b69728aa273339f27f72a108917c00339c5\$__ICE40_RAM4K'. + +2.9.57. Continuing TECHMAP pass. +Mapping memtest.mem.11.0.0 using $paramod$d02f8b69728aa273339f27f72a108917c00339c5\$__ICE40_RAM4K. + +2.9.58. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1100010111000001000101101010000100100101001111011111010010000000000100001111111110000001100010001011001110010011011000001100110101111100110010110000101111001000111111000001001100100001110000010000011110111101111000110011001100001111100010011001100101111011 +Parameter \INIT_1 = 256'0110100110111000100100011011010100010001110000000111111000101100011110010001111010101011010111010100101101110111110111000001011100000100000100111000111110100000000110000000010001100100000001110110010001100010111000101100101011000101011101010001001100110001 +Parameter \INIT_2 = 256'1010011101100111000001111100110010110110110110011011000000001110011111000100100010111000101101111011110000101110010100001111001101111101110001010000100110110101000011111011011110111111111000010100010101101010100111011010000100111100100110001101111110000011 +Parameter \INIT_3 = 256'0010011101111011111101111001101010001100100000100101011100000010110101000101011000000001110000110110010011011000101010110001100110111110001111111110011001100011111010110101110010100101010000010101011110111011010101111011101101001101111111100001011110001111 +Parameter \INIT_4 = 256'0010010011011001001010011000011010010111100011111111101100000001101111110000101101000101101010110011100011001001000000111010110110010110001011011000001111100100010101010010111101101111010101001001010011001101000100110011111011110101001110001010101101100011 +Parameter \INIT_5 = 256'1100100111001000001010111010110100011010110011010001100100010100011110000100111000110011111011000111111110111010100001110001111110011000010001000010101001010100000111010010101011101101101011101000111101101001110000000000111000011001001010110100000101000011 +Parameter \INIT_6 = 256'0110101010100000010010111101110101110010001100010101010101000101000010011010010111111110001001110001000010011100000110011110010000001100101111101111100101111100111000110111110111000111101110010100000111000111100111100000010110001000001101101101010110101101 +Parameter \INIT_7 = 256'1111100111100111100001011010100110010100110000111101110100001011001001010110011111010010001010001100110110000000110101100101010000101011100110101100110100011111000100011101010111000101111100000101010011010101110000110010111010001100100010100110101101101100 +Parameter \INIT_8 = 256'1011100010110000101011010101001110010011111110110111111000100011111100010011111100111101111110100111111000101011111000000111111111111101101101101001011111110110101011001110111011111101111100111100110000101011011000100110011010000001110010001111100111010101 +Parameter \INIT_9 = 256'1101010011010100110010010111001111110110110011101101010110111110111011011000100001110001110011010001000110000001100011110010101001000110111100111000101110111101000111011111100111110101011110010011001100100011001111110000100100111110101101001101001100101110 +Parameter \INIT_A = 256'1100001111011101101001010011110011101000011000111000100011100000110011001100111110101111011100110110110111111110111100001110101001011111000001101001000101100101100101101001111111101001111100110100111101100001110001111111010010010011001110011100011000101010 +Parameter \INIT_B = 256'1110111011000110111101101000011110011001010000001011011101010011000001111111000000011011110001111001001100011000010101101001010001111001001101010101110010101000010000110011010111100101001101100010011110010101100110101011010101100101111011011111010111101000 +Parameter \INIT_C = 256'0111111010111110010010110111111011101110101110100000000010001010010001101111001010101010000001011001010100100001011001011110000110001111100001110110111011000101001101001100100010001100110111011001111001001010000100110100110000111001100110110001111000100010 +Parameter \INIT_D = 256'0010001011010000110001111111110001101011110100100001001011100100001110101110000101101101111101000010101000001001001011101110000110110111111001100111100010010000110111000101010101001010011000101111101111001110110010011010100100111001001111101010111111010111 +Parameter \INIT_E = 256'1000100100011011010000101011110111101000101101101110000000011011010111001110110100110000101000111111100111100110101010010100101100100010111111100001110111111101011101011110110100010010010010000000101101001110000110001100101000100011011101100000100111001100 +Parameter \INIT_F = 256'1100001011001011010111011100000101111101010001001111111100111110011000111111000011001101001111100010101100010011111001010001000010100110010000101010111010110111001000100010111110010110011001010101101000001011001111010000001000001011101101000110111011011000 +Generating RTLIL representation for module `$paramod$ad2999c67c0cc33071080a5b0a3003b439ff4ffe\$__ICE40_RAM4K'. + +2.9.59. Continuing TECHMAP pass. +Mapping memtest.mem.10.0.0 using $paramod$ad2999c67c0cc33071080a5b0a3003b439ff4ffe\$__ICE40_RAM4K. + +2.9.60. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111 +Parameter \INIT_1 = 256'0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101 +Parameter \INIT_2 = 256'1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010 +Parameter \INIT_3 = 256'0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000 +Parameter \INIT_4 = 256'0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111 +Parameter \INIT_5 = 256'1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011 +Parameter \INIT_6 = 256'0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001 +Parameter \INIT_7 = 256'0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111 +Parameter \INIT_8 = 256'0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110 +Parameter \INIT_9 = 256'1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001 +Parameter \INIT_A = 256'1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100 +Parameter \INIT_B = 256'1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011 +Parameter \INIT_C = 256'0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001 +Parameter \INIT_D = 256'1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010 +Parameter \INIT_E = 256'0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011 +Parameter \INIT_F = 256'1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110 +Generating RTLIL representation for module `$paramod$7e6f70126579c46893ed82c0a9723a8ee513c98e\$__ICE40_RAM4K'. + +2.9.61. Continuing TECHMAP pass. +Mapping memtest.mem.9.0.0 using $paramod$7e6f70126579c46893ed82c0a9723a8ee513c98e\$__ICE40_RAM4K. + +2.9.62. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100 +Parameter \INIT_1 = 256'1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001 +Parameter \INIT_2 = 256'0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110 +Parameter \INIT_3 = 256'0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011 +Parameter \INIT_4 = 256'1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000 +Parameter \INIT_5 = 256'1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000 +Parameter \INIT_6 = 256'0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010 +Parameter \INIT_7 = 256'0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010 +Parameter \INIT_8 = 256'1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111 +Parameter \INIT_9 = 256'0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001 +Parameter \INIT_A = 256'0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001 +Parameter \INIT_B = 256'0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110 +Parameter \INIT_C = 256'0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111 +Parameter \INIT_D = 256'0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101 +Parameter \INIT_E = 256'0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001 +Parameter \INIT_F = 256'0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010 +Generating RTLIL representation for module `$paramod$78737ad525e8dae59dec7a1c607a6bc5306a8b74\$__ICE40_RAM4K'. + +2.9.63. Continuing TECHMAP pass. +Mapping memtest.mem.8.0.0 using $paramod$78737ad525e8dae59dec7a1c607a6bc5306a8b74\$__ICE40_RAM4K. + +2.9.64. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101 +Parameter \INIT_1 = 256'0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101 +Parameter \INIT_2 = 256'1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100 +Parameter \INIT_3 = 256'0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111 +Parameter \INIT_4 = 256'1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111 +Parameter \INIT_5 = 256'0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011 +Parameter \INIT_6 = 256'0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001 +Parameter \INIT_7 = 256'1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001 +Parameter \INIT_8 = 256'0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111 +Parameter \INIT_9 = 256'1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001 +Parameter \INIT_A = 256'0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111 +Parameter \INIT_B = 256'0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111 +Parameter \INIT_C = 256'1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101 +Parameter \INIT_D = 256'0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011 +Parameter \INIT_E = 256'0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010 +Parameter \INIT_F = 256'0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100 +Generating RTLIL representation for module `$paramod$37a66f0e87cf155d17acab6ca4babfbf97b805ea\$__ICE40_RAM4K'. + +2.9.65. Continuing TECHMAP pass. +Mapping memtest.mem.7.0.0 using $paramod$37a66f0e87cf155d17acab6ca4babfbf97b805ea\$__ICE40_RAM4K. No more expansions possible. 2.10. Executing OPT pass (performing simple optimizations). 2.10.1. Executing OPT_EXPR pass (perform const folding). -Setting undriven signal in memtest to undef: $techmap223\mem.7.0.0.MASK -Setting undriven signal in memtest to undef: $techmap222\mem.8.0.0.MASK -Setting undriven signal in memtest to undef: $techmap221\mem.9.0.0.MASK -Setting undriven signal in memtest to undef: $techmap220\mem.10.0.0.MASK -Setting undriven signal in memtest to undef: $techmap219\mem.11.0.0.MASK -Setting undriven signal in memtest to undef: $techmap218\mem.12.0.0.MASK -Setting undriven signal in memtest to undef: $techmap217\mem.13.0.0.MASK -Setting undriven signal in memtest to undef: $techmap216\mem.14.0.0.MASK -Setting undriven signal in memtest to undef: $techmap215\mem.15.0.0.MASK -Setting undriven signal in memtest to undef: $techmap214\mem.6.0.0.MASK -Setting undriven signal in memtest to undef: $techmap213\mem.5.0.0.MASK -Setting undriven signal in memtest to undef: $techmap212\mem.4.0.0.MASK -Setting undriven signal in memtest to undef: $techmap211\mem.3.0.0.MASK -Setting undriven signal in memtest to undef: $techmap210\mem.2.0.0.MASK -Setting undriven signal in memtest to undef: $techmap209\mem.1.0.0.MASK -Setting undriven signal in memtest to undef: $techmap208\mem.0.0.0.MASK +Setting undriven signal in memtest to undef: $techmap237\mem.7.0.0.MASK +Setting undriven signal in memtest to undef: $techmap236\mem.8.0.0.MASK +Setting undriven signal in memtest to undef: $techmap235\mem.9.0.0.MASK +Setting undriven signal in memtest to undef: $techmap234\mem.10.0.0.MASK +Setting undriven signal in memtest to undef: $techmap233\mem.11.0.0.MASK +Setting undriven signal in memtest to undef: $techmap232\mem.12.0.0.MASK +Setting undriven signal in memtest to undef: $techmap231\mem.13.0.0.MASK +Setting undriven signal in memtest to undef: $techmap230\mem.14.0.0.MASK +Setting undriven signal in memtest to undef: $techmap229\mem.15.0.0.MASK +Setting undriven signal in memtest to undef: $techmap228\mem.6.0.0.MASK +Setting undriven signal in memtest to undef: $techmap227\mem.5.0.0.MASK +Setting undriven signal in memtest to undef: $techmap226\mem.4.0.0.MASK +Setting undriven signal in memtest to undef: $techmap225\mem.3.0.0.MASK +Setting undriven signal in memtest to undef: $techmap224\mem.2.0.0.MASK +Setting undriven signal in memtest to undef: $techmap223\mem.1.0.0.MASK +Setting undriven signal in memtest to undef: $techmap222\mem.0.0.0.MASK Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [15] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [8] Setting undriven signal in memtest to undef: \pmod_1 @@ -751,80 +1227,80 @@ Setting undriven signal in memtest to undef: \led_b Setting undriven signal in memtest to undef: \led_g Setting undriven signal in memtest to undef: \pmod_2 Setting undriven signal in memtest to undef: \pmod_4 -Setting undriven signal in memtest to undef: $techmap207\mem.7.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap207\mem.7.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap207\mem.7.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap195\mem.4.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap195\mem.4.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap195\mem.4.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap206\mem.8.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap206\mem.8.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap206\mem.8.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [15:12] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [1] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [4] -Setting undriven signal in memtest to undef: $techmap205\mem.9.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap205\mem.9.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap205\mem.9.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [15:12] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [0] -Setting undriven signal in memtest to undef: $techmap204\mem.10.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap204\mem.10.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap204\mem.10.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap192\mem.1.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap192\mem.1.0.0.B1DATA_16 [7:4] -Setting undriven signal in memtest to undef: $techmap194\mem.3.0.0.B1DATA_16 [0] -Setting undriven signal in memtest to undef: $techmap203\mem.11.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap203\mem.11.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap203\mem.11.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap194\mem.3.0.0.B1DATA_16 [2:1] -Setting undriven signal in memtest to undef: $techmap194\mem.3.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap194\mem.3.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [7:4] +Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [0] +Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [2:1] +Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [15:12] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [5] -Setting undriven signal in memtest to undef: $techmap202\mem.12.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap202\mem.12.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap202\mem.12.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap201\mem.13.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap201\mem.13.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap201\mem.13.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap192\mem.1.0.0.B1DATA_16 [10:8] -Setting undriven signal in memtest to undef: $techmap192\mem.1.0.0.B1DATA_16 [12] -Setting undriven signal in memtest to undef: $techmap200\mem.14.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap200\mem.14.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap200\mem.14.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap192\mem.1.0.0.B1DATA_16 [15:13] +Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [10:8] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [12] +Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [15:13] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [7] -Setting undriven signal in memtest to undef: $techmap193\mem.2.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap193\mem.2.0.0.B1DATA_16 [4] -Setting undriven signal in memtest to undef: $techmap199\mem.15.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap199\mem.15.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap199\mem.15.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [4] +Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [15:12] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [9] -Setting undriven signal in memtest to undef: $techmap193\mem.2.0.0.B1DATA_16 [10:5] -Setting undriven signal in memtest to undef: $techmap193\mem.2.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [10:5] +Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [15:12] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [6] -Setting undriven signal in memtest to undef: $techmap197\mem.6.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap197\mem.6.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap197\mem.6.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [15:12] Setting undriven signal in memtest to undef: \pmod_3 -Setting undriven signal in memtest to undef: $techmap196\mem.5.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap196\mem.5.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap196\mem.5.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [15:12] Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [2] -Replacing $reduce_or cell `$techmap$techmap194\mem.3.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap194\mem.3.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap193\mem.2.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap193\mem.2.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap192\mem.1.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap192\mem.1.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap191\mem.0.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap191\mem.0.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap196\mem.5.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap196\mem.5.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap195\mem.4.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap195\mem.4.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap197\mem.6.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap197\mem.6.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap199\mem.15.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$198' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap199\mem.15.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$198_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap200\mem.14.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap200\mem.14.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap201\mem.13.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap201\mem.13.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap202\mem.12.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap202\mem.12.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap203\mem.11.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap203\mem.11.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap204\mem.10.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap204\mem.10.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap205\mem.9.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap205\mem.9.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap206\mem.8.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap206\mem.8.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap207\mem.7.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap207\mem.7.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap195\mem.2.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$194' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap195\mem.2.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$194_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap193\mem.1.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$192' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap193\mem.1.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$192_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap191\mem.0.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap191\mem.0.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap199\mem.4.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$198' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap199\mem.4.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$198_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap197\mem.3.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$196' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap197\mem.3.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$196_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap201\mem.5.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$200' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap201\mem.5.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$200_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap203\mem.6.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$202' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap203\mem.6.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$202_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap205\mem.15.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$204' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap205\mem.15.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$204_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap207\mem.14.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$206' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap207\mem.14.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$206_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap209\mem.13.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$208' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap209\mem.13.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$208_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap211\mem.12.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$210' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap211\mem.12.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$210_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap213\mem.11.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$212' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap213\mem.11.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$212_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap215\mem.10.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$214' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap215\mem.10.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$214_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap217\mem.9.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$216' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap217\mem.9.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$216_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap219\mem.8.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$218' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap219\mem.8.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$218_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap221\mem.7.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$220' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap221\mem.7.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$220_Y = 1'0'. 2.10.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -876,7 +1352,7 @@ Removed 33 unused cells and 483 unused wires. 2.13. Executing TECHMAP pass (map to technology primitives). 2.13.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/techmap.v' to AST representation. +Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. @@ -900,7 +1376,7 @@ Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 2.13.2. Executing Verilog-2005 frontend. -Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v' to AST representation. +Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v' to AST representation. Generating RTLIL representation for module `\_80_ice40_alu'. Successfully finished Verilog frontend. @@ -949,9 +1425,9 @@ Mapping memtest.$procdff$133 ($dff) with simplemap. Mapping memtest.$procdff$134 ($dff) with simplemap. Mapping memtest.$auto$alumacc.cc:474:replace_alu$167.A_conv ($pos) with simplemap. Mapping memtest.$auto$alumacc.cc:474:replace_alu$167.B_conv ($pos) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$not$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$271 ($not) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272 ($mux) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273 ($xor) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$not$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$285 ($not) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286 ($mux) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287 ($xor) with simplemap. No more expansions possible. 2.14. Executing ICE40_OPT pass (performing simple optimizations). @@ -959,27 +1435,27 @@ No more expansions possible. 2.14.1. Running ICE40 specific optimizations. 2.14.2. Executing OPT_EXPR pass (perform const folding). -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$332' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [4] = \memadr [4]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$343' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [4] = \memadr [4]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$338' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [10] = \memadr [10]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$328' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [0] = \memadr [0]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$329' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [1] = \memadr [1]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$340' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [1] = \memadr [1]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$330' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [2] = \memadr [2]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$341' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [2] = \memadr [2]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$331' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [3] = \memadr [3]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$342' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [3] = \memadr [3]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$336' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [8] = \memadr [8]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$334' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [6] = \memadr [6]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$349' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [10] = \memadr [10]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$337' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [9] = \memadr [9]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$348' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [9] = \memadr [9]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$333' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [5] = \memadr [5]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$344' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [5] = \memadr [5]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$347' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [8] = \memadr [8]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$335' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$272_Y [7] = \memadr [7]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$346' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [7] = \memadr [7]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$345' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$273_Y [6] = \memadr [6]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$348' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [6] = \memadr [6]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$351' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [9] = \memadr [9]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$362' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [9] = \memadr [9]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$347' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [5] = \memadr [5]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$358' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [5] = \memadr [5]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$349' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [7] = \memadr [7]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$360' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [7] = \memadr [7]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$350' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [8] = \memadr [8]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$361' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [8] = \memadr [8]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$352' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [10] = \memadr [10]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$363' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [10] = \memadr [10]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$359' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [6] = \memadr [6]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$346' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [4] = \memadr [4]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$357' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [4] = \memadr [4]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$345' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [3] = \memadr [3]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$356' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [3] = \memadr [3]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$344' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [2] = \memadr [2]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$355' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [2] = \memadr [2]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$343' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [1] = \memadr [1]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$354' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [1] = \memadr [1]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$342' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [0] = \memadr [0]'. 2.14.3. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -989,18 +1465,18 @@ Removed a total of 0 cells. 2.14.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$317'. - removing unused `$_XOR_' cell `$auto$simplemap.cc:85:simplemap_bitop$339'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$319'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$324'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$320'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$323'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$327'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$322'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$326'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$321'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$325'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$318'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$331'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$336'. + removing unused `$_XOR_' cell `$auto$simplemap.cc:85:simplemap_bitop$353'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$338'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$341'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$334'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$340'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$337'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$339'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$335'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$333'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$332'. removing unused `\SB_CARRY' cell `$auto$alumacc.cc:474:replace_alu$167.slice[10].carry'. removed 17 unused temporary wires. Removed 46 unused cells and 500 unused wires. @@ -1012,19 +1488,19 @@ Optimized away SB_CARRY cell memtest.$auto$alumacc.cc:474:replace_alu$167.slice[ Mapping SB_LUT4 cell memtest.$auto$alumacc.cc:474:replace_alu$167.slice[1].adder back to logic. 2.14.8. Executing OPT_EXPR pass (perform const folding). -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$352' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$350 [1] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$351' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$350 [0] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$360' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$359 [0] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$353' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$350 [2] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$354' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$350 [3] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$361' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$359 [1] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$365' (01?) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [0] = \memadr [1]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$356' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$350 [5] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$355' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$350 [4] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$362' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$359 [2] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$357' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$350 [6] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$358' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$350 [7] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$363' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$359 [3] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$365' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [0] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$366' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [1] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$374' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [0] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$367' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [2] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$368' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [3] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$375' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [1] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$379' (01?) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$378 [0] = \memadr [1]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$370' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [5] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$369' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [4] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$376' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [2] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$372' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [7] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$371' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [6] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$377' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [3] = 1'0'. 2.14.9. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -1074,7 +1550,7 @@ Transforming FF to FF+Enable cells in module memtest: 2.17. Executing TECHMAP pass (map to technology primitives). 2.17.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/cells_map.v' to AST representation. +Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. @@ -1098,18 +1574,18 @@ Generating RTLIL representation for module `\$__DFFE_NP1'. Generating RTLIL representation for module `\$__DFFE_PP0'. Generating RTLIL representation for module `\$__DFFE_PP1'. Successfully finished Verilog frontend. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$306 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$311 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$307 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$316 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$310 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$315 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$314 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$309 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$313 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$308 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$312 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$305 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$323 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$330 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$329 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$325 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$328 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$321 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$327 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$324 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$326 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$322 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$320 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$319 using \$_DFF_P_. No more expansions possible. 2.18. Executing OPT_EXPR pass (perform const folding). @@ -1144,7 +1620,7 @@ Removed 46 unused cells and 539 unused wires. 2.23. Executing TECHMAP pass (map to technology primitives). 2.23.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/latches_map.v' to AST representation. +Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/latches_map.v' to AST representation. Generating RTLIL representation for module `\$_DLATCH_N_'. Generating RTLIL representation for module `\$_DLATCH_P_'. Successfully finished Verilog frontend. @@ -1185,7 +1661,7 @@ Removed 0 unused cells and 67 unused wires. 2.25. Executing TECHMAP pass (map to technology primitives). 2.25.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/cells_map.v' to AST representation. +Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. @@ -1212,52 +1688,52 @@ Generating RTLIL representation for module `\$lut'. Successfully finished Verilog frontend. 2.25.2. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. -Parameter \WIDTH = 2 -Parameter \LUT = 4'0110 -Generating RTLIL representation for module `$paramod\$lut\WIDTH=2\LUT=4'0110'. - -2.25.3. Continuing TECHMAP pass. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$395 using $paramod\$lut\WIDTH=2\LUT=4'0110. - -2.25.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. -Parameter \WIDTH = 3 -Parameter \LUT = 8'10010110 -Generating RTLIL representation for module `$paramod\$lut\WIDTH=3\LUT=8'10010110'. - -2.25.5. Continuing TECHMAP pass. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$407 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$406 using $paramod\$lut\WIDTH=3\LUT=8'10010110. - -2.25.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. -Parameter \WIDTH = 4 -Parameter \LUT = 16'0110100110010110 -Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'0110100110010110'. - -2.25.7. Continuing TECHMAP pass. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$402 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. - -2.25.8. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. Parameter \WIDTH = 4 Parameter \LUT = 16'1001011001101001 Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'1001011001101001'. -2.25.9. Continuing TECHMAP pass. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$403 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. +2.25.3. Continuing TECHMAP pass. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$419 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. -2.25.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +2.25.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +Parameter \WIDTH = 4 +Parameter \LUT = 16'0110100110010110 +Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'0110100110010110'. + +2.25.5. Continuing TECHMAP pass. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$414 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. + +2.25.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +Parameter \WIDTH = 3 +Parameter \LUT = 8'10010110 +Generating RTLIL representation for module `$paramod\$lut\WIDTH=3\LUT=8'10010110'. + +2.25.7. Continuing TECHMAP pass. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$413 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$412 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$411 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$416 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$417 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. + +2.25.8. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. Parameter \WIDTH = 3 Parameter \LUT = 8'01101001 Generating RTLIL representation for module `$paramod\$lut\WIDTH=3\LUT=8'01101001'. +2.25.9. Continuing TECHMAP pass. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$415 using $paramod\$lut\WIDTH=3\LUT=8'01101001. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$421 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$420 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$418 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$410 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. + +2.25.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +Parameter \WIDTH = 2 +Parameter \LUT = 4'0110 +Generating RTLIL representation for module `$paramod\$lut\WIDTH=2\LUT=4'0110'. + 2.25.11. Continuing TECHMAP pass. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$401 using $paramod\$lut\WIDTH=3\LUT=8'01101001. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$405 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$404 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$398 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$399 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$400 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$397 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$394$auto$blifparse.cc:492:parse_blif$396 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$409 using $paramod\$lut\WIDTH=2\LUT=4'0110. No more expansions possible. Removed 0 unused cells and 26 unused wires. @@ -1365,338 +1841,338 @@ Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'01101 2.26.26. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Generating RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111 +Parameter \INIT_1 = 256'0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001 +Parameter \INIT_2 = 256'0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000 +Parameter \INIT_3 = 256'0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000 +Parameter \INIT_4 = 256'0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000 +Parameter \INIT_5 = 256'0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010 +Parameter \INIT_6 = 256'0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001 +Parameter \INIT_7 = 256'0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011 +Parameter \INIT_8 = 256'1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001 +Parameter \INIT_9 = 256'1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011 +Parameter \INIT_A = 256'1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010 +Parameter \INIT_B = 256'1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010 +Parameter \INIT_C = 256'1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101 +Parameter \INIT_D = 256'0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111 +Parameter \INIT_E = 256'1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111 +Parameter \INIT_F = 256'1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100 +Generating RTLIL representation for module `$paramod$aec89bda2bb51457738348c30887ba494f48a61a\SB_RAM40_4K'. 2.26.27. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001 +Parameter \INIT_1 = 256'0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010 +Parameter \INIT_2 = 256'1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111 +Parameter \INIT_3 = 256'1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010 +Parameter \INIT_4 = 256'1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110 +Parameter \INIT_5 = 256'0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100 +Parameter \INIT_6 = 256'0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111 +Parameter \INIT_7 = 256'1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100 +Parameter \INIT_8 = 256'0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110 +Parameter \INIT_9 = 256'1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000 +Parameter \INIT_A = 256'0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001 +Parameter \INIT_B = 256'1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000 +Parameter \INIT_C = 256'1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101 +Parameter \INIT_D = 256'1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011 +Parameter \INIT_E = 256'1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011 +Parameter \INIT_F = 256'1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101 +Generating RTLIL representation for module `$paramod$36cd72d0a2aa01f65f2e032aecaf806435b24292\SB_RAM40_4K'. 2.26.28. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1100010111000001000101101010000100100101001111011111010010000000000100001111111110000001100010001011001110010011011000001100110101111100110010110000101111001000111111000001001100100001110000010000011110111101111000110011001100001111100010011001100101111011 +Parameter \INIT_1 = 256'0110100110111000100100011011010100010001110000000111111000101100011110010001111010101011010111010100101101110111110111000001011100000100000100111000111110100000000110000000010001100100000001110110010001100010111000101100101011000101011101010001001100110001 +Parameter \INIT_2 = 256'1010011101100111000001111100110010110110110110011011000000001110011111000100100010111000101101111011110000101110010100001111001101111101110001010000100110110101000011111011011110111111111000010100010101101010100111011010000100111100100110001101111110000011 +Parameter \INIT_3 = 256'0010011101111011111101111001101010001100100000100101011100000010110101000101011000000001110000110110010011011000101010110001100110111110001111111110011001100011111010110101110010100101010000010101011110111011010101111011101101001101111111100001011110001111 +Parameter \INIT_4 = 256'0010010011011001001010011000011010010111100011111111101100000001101111110000101101000101101010110011100011001001000000111010110110010110001011011000001111100100010101010010111101101111010101001001010011001101000100110011111011110101001110001010101101100011 +Parameter \INIT_5 = 256'1100100111001000001010111010110100011010110011010001100100010100011110000100111000110011111011000111111110111010100001110001111110011000010001000010101001010100000111010010101011101101101011101000111101101001110000000000111000011001001010110100000101000011 +Parameter \INIT_6 = 256'0110101010100000010010111101110101110010001100010101010101000101000010011010010111111110001001110001000010011100000110011110010000001100101111101111100101111100111000110111110111000111101110010100000111000111100111100000010110001000001101101101010110101101 +Parameter \INIT_7 = 256'1111100111100111100001011010100110010100110000111101110100001011001001010110011111010010001010001100110110000000110101100101010000101011100110101100110100011111000100011101010111000101111100000101010011010101110000110010111010001100100010100110101101101100 +Parameter \INIT_8 = 256'1011100010110000101011010101001110010011111110110111111000100011111100010011111100111101111110100111111000101011111000000111111111111101101101101001011111110110101011001110111011111101111100111100110000101011011000100110011010000001110010001111100111010101 +Parameter \INIT_9 = 256'1101010011010100110010010111001111110110110011101101010110111110111011011000100001110001110011010001000110000001100011110010101001000110111100111000101110111101000111011111100111110101011110010011001100100011001111110000100100111110101101001101001100101110 +Parameter \INIT_A = 256'1100001111011101101001010011110011101000011000111000100011100000110011001100111110101111011100110110110111111110111100001110101001011111000001101001000101100101100101101001111111101001111100110100111101100001110001111111010010010011001110011100011000101010 +Parameter \INIT_B = 256'1110111011000110111101101000011110011001010000001011011101010011000001111111000000011011110001111001001100011000010101101001010001111001001101010101110010101000010000110011010111100101001101100010011110010101100110101011010101100101111011011111010111101000 +Parameter \INIT_C = 256'0111111010111110010010110111111011101110101110100000000010001010010001101111001010101010000001011001010100100001011001011110000110001111100001110110111011000101001101001100100010001100110111011001111001001010000100110100110000111001100110110001111000100010 +Parameter \INIT_D = 256'0010001011010000110001111111110001101011110100100001001011100100001110101110000101101101111101000010101000001001001011101110000110110111111001100111100010010000110111000101010101001010011000101111101111001110110010011010100100111001001111101010111111010111 +Parameter \INIT_E = 256'1000100100011011010000101011110111101000101101101110000000011011010111001110110100110000101000111111100111100110101010010100101100100010111111100001110111111101011101011110110100010010010010000000101101001110000110001100101000100011011101100000100111001100 +Parameter \INIT_F = 256'1100001011001011010111011100000101111101010001001111111100111110011000111111000011001101001111100010101100010011111001010001000010100110010000101010111010110111001000100010111110010110011001010101101000001011001111010000001000001011101101000110111011011000 +Generating RTLIL representation for module `$paramod$4634a39a6cd62b13e97c191bc48a5bf27335db8d\SB_RAM40_4K'. 2.26.29. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011 +Parameter \INIT_1 = 256'1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011 +Parameter \INIT_2 = 256'1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101 +Parameter \INIT_3 = 256'0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111 +Parameter \INIT_4 = 256'1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111 +Parameter \INIT_5 = 256'0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110 +Parameter \INIT_6 = 256'0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110 +Parameter \INIT_7 = 256'0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101 +Parameter \INIT_8 = 256'0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010 +Parameter \INIT_9 = 256'1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101 +Parameter \INIT_A = 256'0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000 +Parameter \INIT_B = 256'1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000 +Parameter \INIT_C = 256'0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010 +Parameter \INIT_D = 256'1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001 +Parameter \INIT_E = 256'0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011 +Parameter \INIT_F = 256'1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010 +Generating RTLIL representation for module `$paramod$7340fe4b074e1d328bd9dde30b4a8f28ee267b25\SB_RAM40_4K'. 2.26.30. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100 +Parameter \INIT_1 = 256'1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110 +Parameter \INIT_2 = 256'0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010 +Parameter \INIT_3 = 256'1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100 +Parameter \INIT_4 = 256'1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000 +Parameter \INIT_5 = 256'0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000 +Parameter \INIT_6 = 256'1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001 +Parameter \INIT_7 = 256'1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101 +Parameter \INIT_8 = 256'0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101 +Parameter \INIT_9 = 256'0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110 +Parameter \INIT_A = 256'0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000 +Parameter \INIT_B = 256'1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001 +Parameter \INIT_C = 256'1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101 +Parameter \INIT_D = 256'0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100 +Parameter \INIT_E = 256'0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100 +Parameter \INIT_F = 256'0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010 +Generating RTLIL representation for module `$paramod$0ce62515d3067330f88e04b97293dc0659fa9113\SB_RAM40_4K'. 2.26.31. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011 +Parameter \INIT_1 = 256'1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011 +Parameter \INIT_2 = 256'0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110 +Parameter \INIT_3 = 256'0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101 +Parameter \INIT_4 = 256'0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110 +Parameter \INIT_5 = 256'0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111 +Parameter \INIT_6 = 256'0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000 +Parameter \INIT_7 = 256'1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000 +Parameter \INIT_8 = 256'0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100 +Parameter \INIT_9 = 256'1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110 +Parameter \INIT_A = 256'1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010 +Parameter \INIT_B = 256'0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001 +Parameter \INIT_C = 256'0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110 +Parameter \INIT_D = 256'1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011 +Parameter \INIT_E = 256'1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101 +Parameter \INIT_F = 256'0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111 +Generating RTLIL representation for module `$paramod$60b3dfc4f309aea3ada8285e1d40951195a0353e\SB_RAM40_4K'. 2.26.32. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001 +Parameter \INIT_1 = 256'0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010 +Parameter \INIT_2 = 256'0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111 +Parameter \INIT_3 = 256'0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000 +Parameter \INIT_4 = 256'1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101 +Parameter \INIT_5 = 256'1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100 +Parameter \INIT_6 = 256'0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000 +Parameter \INIT_7 = 256'1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110 +Parameter \INIT_8 = 256'1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100 +Parameter \INIT_9 = 256'1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100 +Parameter \INIT_A = 256'0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000 +Parameter \INIT_B = 256'0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110 +Parameter \INIT_C = 256'0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100 +Parameter \INIT_D = 256'0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011 +Parameter \INIT_E = 256'1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101 +Parameter \INIT_F = 256'1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101 +Generating RTLIL representation for module `$paramod$c74655a14c7cc6adeb7816abc7bdb3c44a7ba15e\SB_RAM40_4K'. 2.26.33. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Generating RTLIL representation for module `$paramod$334b97d74ac2fc34e989b7abd0f6e0033972d758\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011 +Parameter \INIT_1 = 256'1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001 +Parameter \INIT_2 = 256'1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110 +Parameter \INIT_3 = 256'1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000 +Parameter \INIT_4 = 256'1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001 +Parameter \INIT_5 = 256'1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111 +Parameter \INIT_6 = 256'1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101 +Parameter \INIT_7 = 256'1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011 +Parameter \INIT_8 = 256'0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100 +Parameter \INIT_9 = 256'0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110 +Parameter \INIT_A = 256'1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010 +Parameter \INIT_B = 256'0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010 +Parameter \INIT_C = 256'1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101 +Parameter \INIT_D = 256'1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010 +Parameter \INIT_E = 256'0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011 +Parameter \INIT_F = 256'1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101 +Generating RTLIL representation for module `$paramod$7c21166ea4c3b2a9d7a87502f98118a3ea2bbb02\SB_RAM40_4K'. 2.26.34. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111 +Parameter \INIT_1 = 256'0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101 +Parameter \INIT_2 = 256'1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011 +Parameter \INIT_3 = 256'0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100 +Parameter \INIT_4 = 256'0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110 +Parameter \INIT_5 = 256'0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001 +Parameter \INIT_6 = 256'1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100 +Parameter \INIT_7 = 256'0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010 +Parameter \INIT_8 = 256'1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111 +Parameter \INIT_9 = 256'0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011 +Parameter \INIT_A = 256'0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001 +Parameter \INIT_B = 256'0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110 +Parameter \INIT_C = 256'0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111 +Parameter \INIT_D = 256'0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010 +Parameter \INIT_E = 256'1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000 +Parameter \INIT_F = 256'1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110 +Generating RTLIL representation for module `$paramod$6b1c6f63ba5f996acd858be247d742a022a819f1\SB_RAM40_4K'. 2.26.35. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010 +Parameter \INIT_1 = 256'0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100 +Parameter \INIT_2 = 256'1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000 +Parameter \INIT_3 = 256'0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100 +Parameter \INIT_4 = 256'0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101 +Parameter \INIT_5 = 256'1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101 +Parameter \INIT_6 = 256'1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100 +Parameter \INIT_7 = 256'0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101 +Parameter \INIT_8 = 256'1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110 +Parameter \INIT_9 = 256'1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101 +Parameter \INIT_A = 256'1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001 +Parameter \INIT_B = 256'0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100 +Parameter \INIT_C = 256'1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110 +Parameter \INIT_D = 256'1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010 +Parameter \INIT_E = 256'1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101 +Parameter \INIT_F = 256'1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111 +Generating RTLIL representation for module `$paramod$cd87ac4b13c15dbaa504f663e927b7c4b0d5fa2f\SB_RAM40_4K'. 2.26.36. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101 +Parameter \INIT_1 = 256'1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100 +Parameter \INIT_2 = 256'1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100 +Parameter \INIT_3 = 256'1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000 +Parameter \INIT_4 = 256'0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100 +Parameter \INIT_5 = 256'0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001 +Parameter \INIT_6 = 256'1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010 +Parameter \INIT_7 = 256'1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010 +Parameter \INIT_8 = 256'1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011 +Parameter \INIT_9 = 256'0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111 +Parameter \INIT_A = 256'0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101 +Parameter \INIT_B = 256'0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011 +Parameter \INIT_C = 256'0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110 +Parameter \INIT_D = 256'0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101 +Parameter \INIT_E = 256'1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110 +Parameter \INIT_F = 256'1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011 +Generating RTLIL representation for module `$paramod$6b7654ce210c81e8e0bd83db57840a1ffc63b53d\SB_RAM40_4K'. 2.26.37. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011 +Parameter \INIT_1 = 256'0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011 +Parameter \INIT_2 = 256'1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010 +Parameter \INIT_3 = 256'0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101 +Parameter \INIT_4 = 256'0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101 +Parameter \INIT_5 = 256'0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101 +Parameter \INIT_6 = 256'1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111 +Parameter \INIT_7 = 256'0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110 +Parameter \INIT_8 = 256'1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010 +Parameter \INIT_9 = 256'1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101 +Parameter \INIT_A = 256'0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110 +Parameter \INIT_B = 256'1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011 +Parameter \INIT_C = 256'0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011 +Parameter \INIT_D = 256'1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111 +Parameter \INIT_E = 256'1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100 +Parameter \INIT_F = 256'1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111 +Generating RTLIL representation for module `$paramod$03877972951bada2593735348373f9d59049f3a8\SB_RAM40_4K'. 2.26.38. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010 +Parameter \INIT_1 = 256'1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001 +Parameter \INIT_2 = 256'0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111 +Parameter \INIT_3 = 256'0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111 +Parameter \INIT_4 = 256'1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010 +Parameter \INIT_5 = 256'0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111 +Parameter \INIT_6 = 256'1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101 +Parameter \INIT_7 = 256'1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011 +Parameter \INIT_8 = 256'0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100 +Parameter \INIT_9 = 256'0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000 +Parameter \INIT_A = 256'0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100 +Parameter \INIT_B = 256'1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010 +Parameter \INIT_C = 256'1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011 +Parameter \INIT_D = 256'0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000 +Parameter \INIT_E = 256'0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001 +Parameter \INIT_F = 256'1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001 +Generating RTLIL representation for module `$paramod$627bd8159a11d7351b0cd002b08e7a85cfce8ce1\SB_RAM40_4K'. 2.26.39. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101 +Parameter \INIT_1 = 256'0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101 +Parameter \INIT_2 = 256'1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100 +Parameter \INIT_3 = 256'0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111 +Parameter \INIT_4 = 256'1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111 +Parameter \INIT_5 = 256'0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011 +Parameter \INIT_6 = 256'0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001 +Parameter \INIT_7 = 256'1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001 +Parameter \INIT_8 = 256'0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111 +Parameter \INIT_9 = 256'1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001 +Parameter \INIT_A = 256'0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111 +Parameter \INIT_B = 256'0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111 +Parameter \INIT_C = 256'1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101 +Parameter \INIT_D = 256'0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011 +Parameter \INIT_E = 256'0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010 +Parameter \INIT_F = 256'0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100 +Generating RTLIL representation for module `$paramod$354b058f540860ee145f5e13e91198841fbcc4de\SB_RAM40_4K'. 2.26.40. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100 +Parameter \INIT_1 = 256'1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001 +Parameter \INIT_2 = 256'0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110 +Parameter \INIT_3 = 256'0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011 +Parameter \INIT_4 = 256'1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000 +Parameter \INIT_5 = 256'1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000 +Parameter \INIT_6 = 256'0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010 +Parameter \INIT_7 = 256'0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010 +Parameter \INIT_8 = 256'1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111 +Parameter \INIT_9 = 256'0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001 +Parameter \INIT_A = 256'0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001 +Parameter \INIT_B = 256'0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110 +Parameter \INIT_C = 256'0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111 +Parameter \INIT_D = 256'0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101 +Parameter \INIT_E = 256'0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001 +Parameter \INIT_F = 256'0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010 +Generating RTLIL representation for module `$paramod$a83cd7853b7ce9d915e398a115a69ef26de5cd7c\SB_RAM40_4K'. 2.26.41. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. Parameter \WRITE_MODE = 3 Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_1 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_2 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_3 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_4 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_5 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_6 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_7 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_8 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_9 = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_A = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_B = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_C = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_D = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_E = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Parameter \INIT_F = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -Found cached RTLIL representation for module `$paramod$d7198a0eec84a9dbf1058f56bf1e69e919c16fc7\SB_RAM40_4K'. +Parameter \INIT_0 = 256'0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111 +Parameter \INIT_1 = 256'0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101 +Parameter \INIT_2 = 256'1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010 +Parameter \INIT_3 = 256'0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000 +Parameter \INIT_4 = 256'0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111 +Parameter \INIT_5 = 256'1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011 +Parameter \INIT_6 = 256'0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001 +Parameter \INIT_7 = 256'0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111 +Parameter \INIT_8 = 256'0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110 +Parameter \INIT_9 = 256'1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001 +Parameter \INIT_A = 256'1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100 +Parameter \INIT_B = 256'1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011 +Parameter \INIT_C = 256'0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001 +Parameter \INIT_D = 256'1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010 +Parameter \INIT_E = 256'0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011 +Parameter \INIT_F = 256'1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110 +Generating RTLIL representation for module `$paramod$846dbb211a861374c6fe88754cd6d7b75e31c8d1\SB_RAM40_4K'. 2.27. Printing statistics. @@ -1723,6 +2199,6 @@ found and reported 0 problems. 2.29. Executing JSON backend. Warnings: 9 unique messages, 9 total -End of script. Logfile hash: af174dade3 +End of script. Logfile hash: 2ae37747b9 Yosys 0.8+ (git sha1 UNKNOWN, x86_64-w64-mingw32-g++ 7.3-posix -O3 -DNDEBUG) Time spent: 2% 14x opt_expr (0 sec), 2% 14x opt_clean (0 sec), ... diff --git a/output.txt b/output.txt index c52ff73..d3a1320 100644 --- a/output.txt +++ b/output.txt @@ -4,978 +4,978 @@ CRAM data (bank 2): 692 x 336 @ 0x00000000; 232512 bits = 29064 bytes CRAM data (bank 3): 692 x 176 @ 0x00000000; 121792 bits = 15224 bytes BRAM data (bank 0): 160 x 128 @ 0x00000000; 20480 bits = 2560 bytes try 1: -00000000 73 0b 00 00 c3 00 44 1a 62 87 1b 7c ad 9c d0 f0 |s.....D.b..|....| -00000010 5f 1c 07 a6 59 87 00 00 f0 00 e6 45 42 c1 23 9b |_...Y......EB.#.| -00000020 95 bf 30 ef c8 16 40 81 e7 53 00 00 6c 00 d1 0e |..0...@..S..l...| -00000030 6d d7 e4 53 63 0f b6 90 5e 0e de 56 90 74 00 00 |m..Sc...^..V.t..| -00000040 a2 00 d2 aa 5b 96 e1 15 90 be e8 09 c0 c5 96 29 |....[..........)| -00000050 04 34 00 00 a1 00 57 f6 43 c2 f7 58 00 0a 91 e7 |.4....W.C..X....| -00000060 c9 6c ab 4b 70 b4 00 00 94 00 a7 0c b8 74 a8 23 |.l.Kp........t.#| -00000070 7d 37 6a 0b bd c8 3e ad 45 6c 00 00 7f 00 77 8c |}7j...>.El....w.| -00000080 57 fc d5 8e 16 f5 2b 18 23 13 07 31 27 8d 00 00 |W.....+.#..1'...| -00000090 30 00 42 65 0d 83 d3 2d 53 d4 77 4e 94 90 6f 92 |0.Be...-S.wN..o.| -000000a0 00 92 00 00 ba 00 43 1b 65 67 fb a8 56 2a da 27 |......C.eg..V*.'| -000000b0 35 51 fa 76 d2 b3 00 00 2a 00 5a a5 01 4d 17 d0 |5Q.v....*.Z..M..| -000000c0 fe 65 7e 4c 42 0d 36 c7 45 09 00 00 3d 00 80 a9 |.e~LB.6.E...=...| -000000d0 3a 43 31 fe f1 44 e9 5a 70 5f e7 ba 8e bc 00 00 |:C1..D.Zp_......| -000000e0 a9 00 28 68 17 53 8f 00 fd 2a e4 ba 02 06 2f b9 |..(h.S...*..../.| -000000f0 e6 f3 00 00 c0 00 a5 63 e1 2a 0c e1 7a ed 63 3f |.......c.*..z.c?| -00000100 19 d7 be 14 06 8e 00 00 8a 00 87 68 4b 99 36 aa |...........hK.6.| -00000110 08 be a8 15 ae bc c3 a4 0c a1 00 00 8e 00 74 e9 |..............t.| -00000120 2d 5e 05 40 93 75 5a 78 22 b5 14 62 ce 85 00 00 |-^.@.uZx"..b....| -00000130 41 00 2a 3a cd fd 92 e9 aa db 86 bf 24 9a d5 d7 |A.*:........$...| -00000140 5a 99 00 00 64 00 e4 c9 fd 4d fe cd 1d 0f 3a 19 |Z...d....M....:.| -00000150 ff 69 15 01 54 b7 00 00 4f 00 1e 4d 55 16 10 d3 |.i..T...O..MU...| -00000160 8b 76 57 74 d6 ac 0f 49 4e 96 00 00 99 00 93 0e |.vWt...IN.......| -00000170 2e 81 35 09 dc a6 55 ee 13 12 95 c1 e2 e8 00 00 |..5...U.........| -00000180 39 00 3e e1 22 0c 0b 06 53 ac 75 bd 33 f6 b6 b3 |9.>."...S.u.3...| -00000190 8c 43 00 00 9a 00 b8 87 d6 a9 18 1c 82 4c ab 5e |.C...........L.^| -000001a0 46 91 58 ae f8 6b 00 00 f6 00 33 f9 20 52 fd 70 |F.X..k....3. R.p| -000001b0 ab 89 d8 d8 48 f5 a5 59 2f f5 00 00 91 00 5a 4f |....H..Y/.....ZO| -000001c0 f0 ee 9e 05 9c 69 d0 ff 4d be a5 8b 55 70 00 00 |.....i..M...Up..| -000001d0 0c 00 11 4e 40 94 64 b5 f9 85 20 1a e5 c7 1f 9d |...N@.d... .....| -000001e0 14 18 00 00 51 00 da 36 13 1c 90 75 51 7e 7f 92 |....Q..6...uQ~..| -000001f0 94 fe 73 26 d7 68 00 00 8a 00 9b aa 18 51 cd 96 |..s&.h.......Q..| -00000200 47 e4 cf 49 dc 0c 9e 21 15 dd 00 00 c6 00 07 7a |G..I...!.......z| -00000210 5f a1 dc 8b 51 c2 71 e9 82 d3 93 0a b8 59 00 00 |_...Q.q......Y..| -00000220 07 00 19 fc 4b 2c e6 ac 90 4d 50 95 3f 36 ac df |....K,...MP.?6..| -00000230 af df 00 00 95 00 0b e9 cc 00 de 76 83 88 17 cd |...........v....| -00000240 f9 24 fe 78 60 e4 00 00 7a 00 fa d6 32 7c 14 80 |.$.x`...z...2|..| -00000250 82 d6 df a0 f7 7b d6 b9 5b 88 00 00 0c 00 61 a8 |.....{..[.....a.| -00000260 67 50 12 70 be 4d 3b 51 1a b9 5c 7b 86 c7 00 00 |gP.p.M;Q..\{....| -00000270 74 00 d8 c5 00 6f 3e 5c 83 b2 d5 3f a2 2c 2c 86 |t....o>\...?.,,.| -00000280 0a 1e 00 00 4c 00 15 75 0f 70 ff 03 66 c5 fc 08 |....L..u.p..f...| -00000290 92 94 9f 08 48 ad 00 00 db 00 cb 2e 7a d3 a4 fb |....H.......z...| -000002a0 ee d6 67 6b e5 6c 4a 79 8c 4d 00 00 3c 00 f5 b3 |..gk.lJy.M..<...| -000002b0 19 6e 78 72 ed a0 d3 fc 55 38 ce e7 69 70 00 00 |.nxr....U8..ip..| -000002c0 b0 00 91 70 86 83 43 bc 62 90 a4 48 23 b1 df 80 |...p..C.b..H#...| -000002d0 cc 13 00 00 03 00 bc 75 b1 01 ca 1b bd c7 cb f3 |.......u........| -000002e0 ae e6 11 db 09 81 00 00 1a 00 05 3d f3 f7 ff 07 |...........=....| -000002f0 ca 7c a5 1a 97 20 ef 24 81 bf 00 00 b7 00 85 bf |.|... .$........| -00000300 49 83 3c 80 95 b9 5c 3f e5 13 ff d9 86 6e 00 00 |I.<...\?.....n..| -00000310 12 00 09 6f 73 03 96 2e ef 14 f3 4f 74 bd df 72 |...os......Ot..r| -00000320 b9 06 00 00 39 00 76 94 a7 fb 2a 3a ba 2c a8 5e |....9.v...*:.,.^| -00000330 67 0f 75 de 35 e3 00 00 1d 00 95 57 ca 18 c9 92 |g.u.5......W....| -00000340 36 b8 32 7d b0 08 dd 09 a1 75 00 00 08 00 cc db |6.2}.....u......| -00000350 ca 93 da 7d df 7a da bf b6 b4 96 78 29 99 00 00 |...}.z.....x)...| -00000360 d1 00 ae a2 62 1b 8e 0d f4 d3 91 12 9f 45 bb 8e |....b........E..| -00000370 7f 4a 00 00 0c 00 ae e8 1b 72 ac 15 ed 70 d6 a4 |.J.......r...p..| -00000380 c0 ed af 9b 12 ec 00 00 a2 00 69 d1 17 6e 47 df |..........i..nG.| -00000390 57 36 f2 81 52 b8 a1 bc 95 73 00 00 24 00 aa e5 |W6..R....s..$...| -000003a0 5d a6 a9 5a 1b f5 35 79 d1 bf 24 ed db 19 00 00 |]..Z..5y..$.....| -000003b0 c9 00 96 9d 04 47 5e c5 b5 0b ab 04 b4 c5 09 4c |.....G^........L| -000003c0 c5 19 00 00 65 00 96 3c fb 0a 55 0d 04 d7 fa b4 |....e..<..U.....| -000003d0 e3 03 e1 54 73 5c 00 00 e3 00 15 b6 db 7d dd 08 |...Ts\.......}..| -000003e0 b2 24 a9 f0 c6 e7 8e 0f 28 8d 00 00 33 00 7d f7 |.$......(...3.}.| -000003f0 57 d7 f0 52 8f af f7 f5 c9 15 74 dc 89 f6 00 00 |W..R......t.....| -00000400 81 00 a5 49 a6 14 05 b1 99 ad f3 44 b1 93 ef 16 |...I.......D....| -00000410 cf 5f 00 00 da 00 7f 63 d2 b1 c5 aa 4b 97 59 fb |._.....c....K.Y.| -00000420 19 95 ce d0 1f 8b 00 00 53 00 14 9d 30 9f 08 20 |........S...0.. | -00000430 78 e2 80 34 da 25 88 e4 83 f4 00 00 e2 00 74 aa |x..4.%........t.| -00000440 ac 42 e8 c9 4e cf b4 90 71 0a a0 0d 63 80 00 00 |.B..N...q...c...| -00000450 5d 00 6a c4 22 ae a9 1c f5 04 5d 06 e7 c9 36 a2 |].j.".....]...6.| -00000460 0d c4 00 00 cc 00 27 8e 7b 67 e4 0d c6 fe cb 70 |......'.{g.....p| -00000470 b7 f9 fa c0 87 b6 00 00 ce 00 61 5a ef 2f 75 45 |..........aZ./uE| -00000480 43 6d 8e 61 1a 10 fc e4 7d 15 00 00 4e 00 e5 23 |Cm.a....}...N..#| -00000490 32 fb d7 ea 05 c0 83 cd 3b 44 df 3e 90 71 00 00 |2.......;D.>.q..| -000004a0 f8 00 64 71 00 85 c4 8d 62 94 66 b0 d1 89 51 46 |..dq....b.f...QF| -000004b0 81 97 00 00 91 00 08 fd 2b 8d 75 98 27 93 87 1b |........+.u.'...| -000004c0 78 b1 e2 a7 df a1 00 00 a7 00 7e d4 2e 49 6d 91 |x.........~..Im.| -000004d0 c9 a0 fb 52 ea fe b7 26 1b 19 00 00 78 00 38 95 |...R...&....x.8.| -000004e0 6d eb ef d9 8c fe e6 79 55 2e c5 46 9b da 00 00 |m......yU..F....| -000004f0 27 00 b3 bb 0e 24 6e 2a c4 ca 70 7e af 2c 9a 92 |'....$n*..p~.,..| -00000500 60 1d 00 00 d4 00 66 ba de 9f 71 b6 e0 97 9f 27 |`.....f...q....'| -00000510 7c 9f ba 49 61 d4 00 00 ea 00 ac e8 ad 4f e1 79 ||..Ia........O.y| -00000520 d2 7b df a9 10 da 2e 0c eb 14 00 00 4d 00 c0 f7 |.{..........M...| -00000530 a3 03 1b f4 7b 2d b3 a4 6d cf ae 2d 2c 4a 00 00 |....{-..m..-,J..| -00000540 34 00 4c 9e 30 30 ac 55 82 de d1 8a 74 75 0b 00 |4.L.00.U....tu..| -00000550 0f 8b 00 00 a9 00 36 e5 f4 63 53 ac e6 7d 2f d3 |......6..cS..}/.| -00000560 df 77 b2 a6 92 b3 00 00 89 00 3e 4d 7f 82 3c bf |.w........>M..<.| -00000570 21 23 78 cb 2f 7c 56 6f 06 f9 00 00 c0 00 64 fb |!#x./|Vo......d.| -00000580 d8 95 bd 38 ed 25 82 9d 6f ca ce e5 56 01 00 00 |...8.%..o...V...| -00000590 67 00 dc 0a cc f8 68 6b 0f f9 86 6f e5 07 79 4c |g.....hk...o..yL| -000005a0 85 cc 00 00 3a 00 7e e2 43 b1 0a 2a 57 e8 77 aa |....:.~.C..*W.w.| -000005b0 f0 ef 9b 17 c2 6a 00 00 a5 00 61 68 9d 95 ec 95 |.....j....ah....| -000005c0 06 b8 dc 41 b9 58 b5 04 8c b9 00 00 a4 00 72 54 |...A.X........rT| -000005d0 af b1 2f 59 3f 7c fb 1d ed 56 a0 c7 a5 b9 00 00 |../Y?|...V......| -000005e0 f3 00 12 f3 90 c8 2f a8 74 f5 ad 16 e1 f3 7e a8 |....../.t.....~.| -000005f0 94 10 00 00 eb 00 5d a3 b0 0f 6d 38 da a4 70 ba |......]...m8..p.| -00000600 15 5b 88 4f 42 fd 00 00 5a 00 00 e5 cc fd 84 25 |.[.OB...Z......%| -00000610 e9 e2 54 34 96 b7 e3 ab 6c a9 00 00 e7 00 46 3e |..T4....l.....F>| -00000620 37 8e cd eb bf bb 86 e3 09 fb eb 01 f0 f7 00 00 |7...............| -00000630 a2 00 02 79 b0 f7 ea 70 bb dc e9 59 f6 09 af d6 |...y...p...Y....| -00000640 1d cd 00 00 97 00 1a 40 95 a4 d6 a1 9a 5f 45 88 |.......@....._E.| -00000650 1f a0 72 cf 09 7e 00 00 df 00 0e a8 d5 4d 4b 6f |..r..~.......MKo| -00000660 cd 18 4b 4a 20 c6 87 26 a5 04 00 00 47 00 57 12 |..KJ ..&....G.W.| -00000670 ac e2 6e 6c d2 e5 96 c8 6e ee 01 5d 62 48 00 00 |..nl....n..]bH..| -00000680 6d 00 37 e4 b0 e8 94 12 f8 3d 3f 01 ea df ce 56 |m.7......=?....V| -00000690 92 94 00 00 8f 00 0d 2e f4 25 66 16 56 c0 64 76 |.........%f.V.dv| -000006a0 11 49 96 66 e3 68 00 00 70 00 28 ab 65 47 c2 b7 |.I.f.h..p.(.eG..| -000006b0 ad 3d ca fe 86 2b 82 2e af 76 00 00 00 00 80 4a |.=...+...v.....J| -000006c0 22 9b cb 5f 3d 6b cd 31 4a f7 5e ad 26 d5 00 00 |".._=k.1J.^.&...| -000006d0 9e 00 28 e4 7c b6 19 18 2b 26 96 16 70 6f 9a a9 |..(.|...+&..po..| -000006e0 53 c9 00 00 8f 00 99 98 5e dc 0c 4a 36 f2 ff 45 |S.......^..J6..E| -000006f0 1d 18 22 c4 f7 af 00 00 a3 00 96 5f 3c 79 e4 d4 |.."........_....Qn| -00000710 a1 06 aa b6 ab 3c 51 22 05 8e e5 f5 4e 39 00 00 |.....| -000007f0 de 10 52 17 a8 0f 41 45 8b e9 00 00 6c 00 49 1a |..R...AE....l.I.| -00000800 2e 65 21 4c 21 da 14 dd 2b fe b7 7b 04 3b 00 00 |.e!L!...+..{.;..| -00000810 a9 00 45 53 06 12 bb dd d6 c1 1a 91 15 bd 49 3c |..ES..........I<| -00000820 75 5f 00 00 21 00 7b da 47 48 8b 9d 51 60 ae 7a |u_..!.{.GH..Q`.z| -00000830 21 41 32 27 94 39 00 00 5c 00 64 f5 7a cd 9f dc |!A2'.9..\.d.z...| -00000840 37 b3 f6 1f 17 fa 1e e4 32 05 00 00 f7 00 9e 9e |7.......2.......| -00000850 46 cd 60 68 7d 0b 29 e0 94 87 b0 e9 0d 25 00 00 |F.`h}.)......%..| -00000860 2c 00 51 f4 0a 6d 0e 51 d3 7e 96 a6 97 0f 8a 88 |,.Q..m.Q.~......| -00000870 19 ed 00 00 0f 00 79 65 3a 17 22 11 29 a7 b3 ce |......ye:.".)...| -00000880 47 db 9b 54 c2 49 00 00 c3 00 58 20 89 b3 55 13 |G..T.I....X ..U.| -00000890 59 11 b7 90 39 5a 85 35 b5 63 00 00 b9 00 4a f9 |Y...9Z.5.c....J.| -000008a0 3d 1f 17 b8 ae 67 a5 4a ac 8f 20 fd 65 27 00 00 |=....g.J.. .e'..| -000008b0 ca 00 3e 60 e2 9c dc 98 41 f5 8c 8a 07 04 e1 fd |..>`....A.......| -000008c0 4f a3 00 00 e0 00 dd c6 65 14 4f e3 74 a8 c8 8a |O.......e.O.t...| -000008d0 6e 47 52 74 57 6b 00 00 24 00 90 6e ab 18 49 53 |nGRtWk..$..n..IS| -000008e0 e7 b5 c8 49 c8 68 d7 09 9d 35 00 00 f3 00 bc 21 |...I.h...5.....!| -000008f0 cc 6f 41 1b f1 7c 57 78 5c f8 a9 6a d0 99 00 00 |.oA..|Wx\..j....| -00000900 92 00 b0 49 8e 4b fa a3 fc b0 7e 40 b1 45 4d 98 |...I.K....~@.EM.| -00000910 0d 6d 00 00 72 00 e1 91 f2 64 72 79 55 4f b0 b0 |.m..r....dryUO..| -00000920 8c 8b 29 6d 56 38 00 00 1d 00 e6 92 46 a5 eb a6 |..)mV8......F...| -00000930 4a 32 2b 19 c4 70 e1 7b 54 02 00 00 d9 00 6c 3c |J2+..p.{T.....l<| -00000940 75 5f ad e6 82 11 32 43 65 f7 6a 4a 98 72 00 00 |u_....2Ce.jJ.r..| -00000950 d5 00 7e d9 3e 34 d4 6a ca 7e 92 ff 94 d4 ef 99 |..~.>4.j.~......| -00000960 4f fa 00 00 6f 00 f2 d4 34 01 bd 86 26 76 e9 fc |O...o...4...&v..| -00000970 62 d1 f8 bc 2d fd 00 00 0d 00 09 3c 2f f8 42 f3 |b...-..........*.Zv9..| -000009a0 ac 00 89 e8 b9 e6 b2 0a 76 72 53 c6 14 69 b2 c0 |........vrS..i..| -000009b0 8c a1 00 00 74 00 98 9b 02 4f 6d 7a 88 30 49 75 |....t....Omz.0Iu| -000009c0 59 52 11 88 1f 4d 00 00 08 00 1c 64 2b 32 62 01 |YR...M.....d+2b.| -000009d0 a7 64 99 4a 29 c8 92 bb 07 32 00 00 9d 00 e9 d4 |.d.J)....2......| -000009e0 e0 67 ac 15 2d 9e b8 03 6a ea 1c 24 22 22 00 00 |.g..-...j..$""..| -000009f0 30 00 5a 59 68 4b 79 9f 2f 4d ae 9a 04 2e 1e 1e |0.ZYhKy./M......| +00000000 00 00 00 00 00 00 00 00 5b 53 09 51 62 4d 7c 22 |........[S.QbM|"| +00000010 2e a2 d9 c3 00 00 00 00 00 00 00 00 02 b5 df ec |................| +00000020 43 e0 0e 80 90 c4 81 84 00 00 00 00 00 00 00 00 |C...............| +00000030 c6 6f 9f a8 58 58 c6 75 9d 64 68 52 00 00 00 00 |.o..XX.u.dhR....| +00000040 00 00 00 00 7c 20 4e 90 62 d9 08 be 9c 7b 1a 78 |....| N.b....{.x| +00000050 00 00 00 00 00 00 00 00 5a 84 13 13 fc 31 b4 71 |........Z....1.q| +00000060 d7 2e 37 c1 00 00 00 00 00 00 00 00 f0 7e 37 79 |..7..........~7y| +00000070 11 f3 e5 c8 84 e5 5f 39 00 00 00 00 00 00 00 00 |......_9........| +00000080 e6 2f f1 b9 d1 1f 7e 15 54 44 26 5e 00 00 00 00 |./....~.TD&^....| +00000090 00 00 00 00 7c 72 aa 20 41 14 76 72 0a 53 35 5c |....|r. A.vr.S5\| +000000a0 00 00 00 00 00 00 00 00 42 3d 13 88 47 34 c2 13 |........B=..G4..| +000000b0 9c 67 48 9c 00 00 00 00 00 00 00 00 88 30 09 56 |.gH..........0.V| +000000c0 62 52 12 0c 8e e1 3b c3 00 00 00 00 00 00 00 00 |bR....;.........| +000000d0 82 66 28 55 bf 19 61 23 92 99 46 16 00 00 00 00 |.f(U..a#..F.....| +000000e0 00 00 00 00 ce 28 23 f2 2e 09 f5 81 ed 59 03 11 |.....(#......Y..| +000000f0 00 00 00 00 00 00 00 00 08 36 cd ab 3b 80 a1 bd |.........6..;...| +00000100 b1 b0 ac 1b 00 00 00 00 00 00 00 00 df c0 d9 c5 |................| +00000110 71 26 27 fd 22 d6 77 74 00 00 00 00 00 00 00 00 |q&'.".wt........| +00000120 49 bf 74 a4 c7 92 fc 47 88 17 ef 13 00 00 00 00 |I.t....G........| +00000130 00 00 00 00 6d 08 ac 69 1a 7c 90 26 db a5 90 61 |....m..i.|.&...a| +00000140 00 00 00 00 00 00 00 00 9f 23 b5 f2 2b d4 77 59 |.........#..+.wY| +00000150 81 ec 99 7b 00 00 00 00 00 00 00 00 48 c6 4d 33 |...{........H.M3| +00000160 84 c8 7b a9 96 80 cb 7e 00 00 00 00 00 00 00 00 |..{....~........| +00000170 44 d4 f2 04 c8 da 52 54 4b a7 70 e2 00 00 00 00 |D.....RTK.p.....| +00000180 00 00 00 00 ab d8 7c 5a 07 6f 63 91 f6 dc 20 18 |......|Z.oc... .| +00000190 00 00 00 00 00 00 00 00 6f c5 cb 50 6e 18 79 bf |........o..Pn.y.| +000001a0 a4 c9 87 ca 00 00 00 00 00 00 00 00 ab 24 bb f3 |.............$..| +000001b0 75 6a 3d 2a f5 19 f2 35 00 00 00 00 00 00 00 00 |uj=*...5........| +000001c0 ec e5 94 1c 1d 34 55 c4 96 eb 59 83 00 00 00 00 |.....4U...Y.....| +000001d0 00 00 00 00 16 6c e7 9b a7 d7 61 ed dd fb af 04 |.....l....a.....| +000001e0 00 00 00 00 00 00 00 00 76 73 6f 2c 1b b3 47 c0 |........vso,..G.| +000001f0 7d cb 12 9f 00 00 00 00 00 00 00 00 dc 37 15 e6 |}............7..| +00000200 a2 7e 72 5c 33 94 65 70 00 00 00 00 00 00 00 00 |.~r\3.ep........| +00000210 1e 57 5b de 36 ae f9 3b 27 9b d4 60 00 00 00 00 |.W[.6..;'..`....| +00000220 00 00 00 00 cc 53 7d 30 ca 3f ea 80 2c 92 08 48 |.....S}0.?..,..H| +00000230 00 00 00 00 00 00 00 00 fe af 20 6c 7a 99 db 22 |.......... lz.."| +00000240 e7 20 d7 3c 00 00 00 00 00 00 00 00 97 eb 85 64 |. .<...........d| +00000250 b0 cc fc 63 a8 40 3a 25 00 00 00 00 00 00 00 00 |...c.@:%........| +00000260 c1 77 95 52 ff 4f 34 f9 e8 cd b3 5d 00 00 00 00 |.w.R.O4....]....| +00000270 00 00 00 00 7c ca 16 70 f6 73 d0 0d 6a 9b bf 02 |....|..p.s..j...| +00000280 00 00 00 00 00 00 00 00 47 4a 5f 4f 67 ec 51 a7 |........GJ_Og.Q.| +00000290 6b a8 86 fd 00 00 00 00 00 00 00 00 c4 3e 59 f1 |k............>Y.| +000002a0 d4 13 cc 11 a0 2c 05 c6 00 00 00 00 00 00 00 00 |.....,..........| +000002b0 db 6f d3 df da 1e 02 5d 9f 3b f6 d5 00 00 00 00 |.o.....].;......| +000002c0 00 00 00 00 ad 70 db 63 7c 83 93 fc 04 b6 76 47 |.....p.c|.....vG| +000002d0 00 00 00 00 00 00 00 00 b1 e5 20 57 57 97 7c f2 |.......... WW.|.| +000002e0 78 3e 2c 97 00 00 00 00 00 00 00 00 c3 5a b7 cb |x>,..........Z..| +000002f0 cb 39 6b 10 0e c1 0a df 00 00 00 00 00 00 00 00 |.9k.............| +00000300 b3 70 8a 1f 49 4b 35 31 40 f3 b3 d4 00 00 00 00 |.p..IK51@.......| +00000310 00 00 00 00 0b 4b e2 9f 17 99 32 5d 91 b5 da d5 |.....K....2]....| +00000320 00 00 00 00 00 00 00 00 8e a3 72 bd 19 97 6b cd |..........r...k.| +00000330 da 34 b9 8f 00 00 00 00 00 00 00 00 ad 31 96 87 |.4...........1..| +00000340 78 8d db 4f 7c 41 aa a9 00 00 00 00 00 00 00 00 |x..O|A..........| +00000350 5d d0 5a 34 90 f2 7c be c4 69 be 4f 00 00 00 00 |].Z4..|..i.O....| +00000360 00 00 00 00 8d 17 e1 25 60 8b 8b 9f 57 94 3d f1 |.......%`...W.=.| +00000370 00 00 00 00 00 00 00 00 74 43 d7 ce df a0 09 b5 |........tC......| +00000380 a6 e9 a7 a9 00 00 00 00 00 00 00 00 46 16 77 80 |............F.w.| +00000390 2d fa be a9 f6 75 12 a8 00 00 00 00 00 00 00 00 |-....u..........| +000003a0 85 d3 ea ce 06 04 5f 4d a2 da 13 02 00 00 00 00 |......_M........| +000003b0 00 00 00 00 b9 39 b9 1b b6 43 17 30 c1 43 bc 19 |.....9...C.0.C..| +000003c0 00 00 00 00 00 00 00 00 3f 95 a9 f2 ca 60 88 a7 |........?....`..| +000003d0 56 ac 3f 2f 00 00 00 00 00 00 00 00 7d 05 5f 1e |V.?/........}._.| +000003e0 d5 12 2d d8 a1 6c 46 ab 00 00 00 00 00 00 00 00 |..-..lF.........| +000003f0 b2 4c a8 02 71 83 5a 0c c9 f5 cb d6 00 00 00 00 |.L..q.Z.........| +00000400 00 00 00 00 9e e0 ba 26 de f2 dd 4d d0 36 25 2e |.......&...M.6%.| +00000410 00 00 00 00 00 00 00 00 67 e7 9f 89 42 b6 cd 51 |........g...B..Q| +00000420 58 f5 02 1e 00 00 00 00 00 00 00 00 d9 85 bb d4 |X...............| +00000430 b7 82 21 c2 f4 ec 97 22 00 00 00 00 00 00 00 00 |..!...."........| +00000440 a3 c2 e7 07 a6 cc 51 14 46 4e a3 70 00 00 00 00 |......Q.FN.p....| +00000450 00 00 00 00 19 93 a4 4a f8 90 b6 27 61 da ba fa |.......J...'a...| +00000460 00 00 00 00 00 00 00 00 87 46 c1 fd 7d 28 9c df |.........F..}(..| +00000470 2f 50 0d c2 00 00 00 00 00 00 00 00 26 e6 ba 09 |/P..........&...| +00000480 06 55 4d 89 dc 8b ed 01 00 00 00 00 00 00 00 00 |.UM.............| +00000490 50 b7 1b dc a0 e4 66 83 0f b0 ae 31 00 00 00 00 |P.....f....1....| +000004a0 00 00 00 00 c2 c7 fa 15 75 88 b2 63 da 35 18 98 |........u..c.5..| +000004b0 00 00 00 00 00 00 00 00 62 1d 1d ac 5d d1 5f 83 |........b...]._.| +000004c0 94 af f3 49 00 00 00 00 00 00 00 00 a0 58 30 c0 |...I.........X0.| +000004d0 fa 9e 3e 05 95 bf f4 92 00 00 00 00 00 00 00 00 |..>.............| +000004e0 4b 0a 2d a8 95 ed 1f a2 ba e6 2a 3c 00 00 00 00 |K.-.......*<....| +000004f0 00 00 00 00 55 08 ee a4 b0 0b 4e 3d 73 b7 70 2a |....U.....N=s.p*| +00000500 00 00 00 00 00 00 00 00 83 cd b9 7e e5 ec e3 42 |...........~...B| +00000510 91 4d 4b ef 00 00 00 00 00 00 00 00 e3 5a fc a6 |.MK..........Z..| +00000520 e1 05 42 1c 26 a8 c9 44 00 00 00 00 00 00 00 00 |..B.&..D........| +00000530 09 1e 37 52 60 44 16 3d ef ba 94 0e 00 00 00 00 |..7R`D.=........| +00000540 00 00 00 00 d1 5c f6 2f 5d 4e f5 d0 a0 78 51 3b |.....\./]N...xQ;| +00000550 00 00 00 00 00 00 00 00 af 17 a9 47 26 cd ac 7e |...........G&..~| +00000560 b4 8e 71 29 00 00 00 00 00 00 00 00 38 48 bd 8c |..q)........8H..| +00000570 dc ee 65 18 54 38 7f c9 00 00 00 00 00 00 00 00 |..e.T8..........| +00000580 c3 cb ca 6e 27 b4 22 b1 86 8d 14 e3 00 00 00 00 |...n'.".........| +00000590 00 00 00 00 bd 8d 62 4d e7 56 a9 65 2e 6b db b3 |......bM.V.e.k..| +000005a0 00 00 00 00 00 00 00 00 f5 3a 31 a7 b3 db f5 1f |.........:1.....| +000005b0 3b 20 3f 8a 00 00 00 00 00 00 00 00 a7 2b d4 c2 |; ?..........+..| +000005c0 77 a9 f6 43 be f3 19 8b 00 00 00 00 00 00 00 00 |w..C............| +000005d0 bd c9 5b 23 c3 76 59 ca 4d 53 53 5d 00 00 00 00 |..[#.vY.MSS]....| +000005e0 00 00 00 00 32 ec a6 41 e2 8a ac 4a 72 ff dc 39 |....2..A...Jr..9| +000005f0 00 00 00 00 00 00 00 00 5a f7 f3 1b fe 34 4f fa |........Z....4O.| +00000600 e0 f5 44 c7 00 00 00 00 00 00 00 00 82 ee 67 3f |..D...........g?| +00000610 6a ca 21 01 36 db bc 6b 00 00 00 00 00 00 00 00 |j.!.6..k........| +00000620 ea 0a 3c c0 26 66 47 46 e5 fa 73 8a 00 00 00 00 |..<.&fGF..s.....| +00000630 00 00 00 00 6e 48 e6 13 72 29 d3 05 2c 57 99 7f |....nH..r)..,W..| +00000640 00 00 00 00 00 00 00 00 d9 95 60 24 4a 61 a1 ff |..........`$Ja..| +00000650 eb 4d b8 fe 00 00 00 00 00 00 00 00 cd 3b 29 86 |.M...........;).| +00000660 11 26 2b 08 b6 21 32 80 00 00 00 00 00 00 00 00 |.&+..!2.........| +00000670 1f e7 a1 00 b5 d2 0b 96 4c a9 4e b2 00 00 00 00 |........L.N.....| +00000680 00 00 00 00 97 22 b6 ad ab 8e a5 ce df 24 0c d4 |.....".......$..| +00000690 00 00 00 00 00 00 00 00 82 76 c0 c8 5b 5f 7d 61 |.........v..[_}a| +000006a0 fc 7f 69 f4 00 00 00 00 00 00 00 00 41 21 f2 f6 |..i.........A!..| +000006b0 46 87 93 ee f0 9c 99 19 00 00 00 00 00 00 00 00 |F...............| +000006c0 be 78 df 61 d4 e0 2e 57 fb 32 0f 58 00 00 00 00 |.x.a...W.2.X....| +000006d0 00 00 00 00 07 94 1e 24 14 db e9 ff 3b e5 27 7e |.......$....;.'~| +000006e0 00 00 00 00 00 00 00 00 17 a8 df 73 f7 53 25 c4 |...........s.S%.| +000006f0 5b 5a d3 8e 00 00 00 00 00 00 00 00 a3 41 ee d1 |[Z...........A..| +00000700 32 cd cb 65 e7 d8 4e 75 00 00 00 00 00 00 00 00 |2..e..Nu........| +00000710 a4 9e 97 59 34 97 2a 2f 0e 01 39 f2 00 00 00 00 |...Y4.*/..9.....| +00000720 00 00 00 00 0e 81 f6 2d 7b 15 c3 1c ff 96 53 7f |.......-{.....S.| +00000730 00 00 00 00 00 00 00 00 ef 83 a2 15 33 50 98 b8 |............3P..| +00000740 7e f2 1d f1 00 00 00 00 00 00 00 00 2e 53 a4 1d |~............S..| +00000750 b0 94 dd 0f 2f 6f 37 f3 00 00 00 00 00 00 00 00 |..../o7.........| +00000760 d0 78 97 5a 5c c5 75 be a6 40 d1 72 00 00 00 00 |.x.Z\.u..@.r....| +00000770 00 00 00 00 7e 37 64 ca 47 31 1b 61 8d aa 3d 1d |....~7d.G1.a..=.| +00000780 00 00 00 00 00 00 00 00 12 ff 22 67 7a 6a 14 3d |.........."gzj.=| +00000790 a6 9c 97 1e 00 00 00 00 00 00 00 00 5e df 2d 82 |............^.-.| +000007a0 f0 fe 74 b4 72 41 b3 aa 00 00 00 00 00 00 00 00 |..t.rA..........| +000007b0 d0 30 b3 eb 22 15 b8 d5 d1 ec 6f 86 00 00 00 00 |.0..".....o.....| +000007c0 00 00 00 00 9c 87 f7 97 e9 7a 7c 77 d6 af 40 86 |.........z|w..@.| +000007d0 00 00 00 00 00 00 00 00 b9 0c 32 5b ed 73 88 2d |..........2[.s.-| +000007e0 9d b9 6e c0 00 00 00 00 00 00 00 00 8d 23 fb c2 |..n..........#..| +000007f0 85 bb a8 38 21 75 37 e8 00 00 00 00 00 00 00 00 |...8!u7.........| +00000800 94 73 87 cf 27 6e 48 cd f2 e4 76 b6 00 00 00 00 |.s..'nH...v.....| +00000810 00 00 00 00 7d 1f 2a a9 5b 8f 53 3f 9c fe cb 9d |....}.*.[.S?....| +00000820 00 00 00 00 00 00 00 00 91 95 77 eb 1b e0 45 2f |..........w...E/| +00000830 47 2d e1 35 00 00 00 00 00 00 00 00 8f 09 19 83 |G-.5............| +00000840 81 f9 42 3d 3c 7a f8 c4 00 00 00 00 00 00 00 00 |..B=.|F..o.}| +000009b0 00 00 00 00 00 00 00 00 98 b7 98 09 71 f9 d7 3d |............q..=| +000009c0 e3 29 ad b8 00 00 00 00 00 00 00 00 7c 38 bb b8 |.)..........|8..| +000009d0 4a 2e 3c b8 28 74 28 85 00 00 00 00 00 00 00 00 |J.<.(t(.........| +000009e0 36 c9 87 34 65 19 7b f2 e6 21 a0 89 00 00 00 00 |6..4e.{..!......| +000009f0 00 00 00 00 37 2a c9 ba cd 00 ae 75 3d 9e 53 0f |....7*.....u=.S.| BRAM data (bank 0): 160 x 128 @ 0x00000080; 20480 bits = 2560 bytes try 1: -00000000 26 c9 00 00 93 00 22 4b 34 3b da 90 f9 1b c4 e9 |&....."K4;......| -00000010 0b 6a 86 24 72 3f 00 00 2c 00 ac 4a a3 b8 bb 1a |.j.$r?..,..J....| -00000020 1c 8d f0 b1 93 41 e3 de 13 04 00 00 6a 00 3b 9c |.....A......j.;.| -00000030 55 ad 71 d7 27 11 2b d2 2a 02 21 d5 13 6a 00 00 |U.q.'.+.*.!..j..| -00000040 a6 00 d7 bd 9e fd 0a a4 4e 92 8c 35 b1 c3 2a 69 |........N..5..*i| -00000050 02 6f 00 00 ef 00 d6 3d 37 5e 6b 87 a5 2f 94 7c |.o.....=7^k../.|| -00000060 47 fe c8 3c d6 5d 00 00 bb 00 6e 21 fd 49 fd c7 |G..<.]....n!.I..| -00000070 36 b8 7c 7b 6d 8f ef 7c c9 24 00 00 52 00 42 51 |6.|{m..|.$..R.BQ| -00000080 6b 8b e4 8c ec d6 ec d5 39 3b fb ff 1b 6c 00 00 |k.......9;...l..| -00000090 28 00 2b 6e 16 a4 bf b5 fa fb 7c 1b f2 df d8 f1 |(.+n......|.....| -000000a0 75 4b 00 00 33 00 1a 37 9b 32 c2 25 a5 ca d3 71 |uK..3..7.2.%...q| -000000b0 6f 82 fe d3 61 3c 00 00 ba 00 31 19 83 32 eb 55 |o...a<....1..2.U| -000000c0 62 6c 71 53 07 bb e8 69 ea be 00 00 14 00 e4 17 |blqS...i........| -000000d0 c3 11 b9 45 1d ad 80 44 98 86 c7 72 6d 9a 00 00 |...E...D...rm...| -000000e0 27 00 5e 16 e1 66 27 a0 78 b0 24 df 21 b5 bc 4f |'.^..f'.x.$.!..O| -000000f0 6c 57 00 00 29 00 4b 4e 34 7e 67 d3 c6 73 97 4d |lW..).KN4~g..s.M| -00000100 9a a6 80 44 10 18 00 00 82 00 73 4d 4d c0 24 1f |...D......sMM.$.| -00000110 7e c1 f2 24 45 27 0a d8 6f ed 00 00 0c 00 1b d2 |~..$E'..o.......| -00000120 dc 43 0a 27 cf e4 b7 21 af 97 1e f6 e9 a2 00 00 |.C.'...!........| -00000130 eb 00 28 54 a1 09 0a 25 5c fd bb 25 df 30 cb 91 |..(T...%\..%.0..| -00000140 ee ad 00 00 dc 00 dc 52 9e ec e8 7a 45 68 62 b4 |.......R...zEhb.| -00000150 a2 ea c5 08 5a 93 00 00 15 00 2c 34 48 28 34 85 |....Z.....,4H(4.| -00000160 29 43 06 30 d3 e1 ab 14 9c f5 00 00 e8 00 80 7b |)C.0...........{| -00000170 95 6b 29 9b 52 a2 0f 1d b9 2d 46 e5 7e b7 00 00 |.k).R....-F.~...| -00000180 1d 00 98 8c 14 b3 1b 9c 92 28 84 8d 39 21 1d 7f |.........(..9!..| -00000190 68 9a 00 00 9d 00 12 02 51 e9 2a 07 2e f5 fc 11 |h.......Q.*.....| -000001a0 51 79 4f fd f5 dd 00 00 86 00 63 37 6f 1c bd 52 |QyO.......c7o..R| -000001b0 80 2a 0d a9 bc ea 48 d1 69 a6 00 00 6e 00 f7 61 |.*....H.i...n..a| -000001c0 b1 af bb 7b 24 64 94 cf 19 c7 f4 c0 9c d5 00 00 |...{$d..........| -000001d0 8e 00 78 10 cd db f2 68 6a 08 f9 c6 e6 21 86 00 |..x....hj....!..| -000001e0 cc b4 00 00 c5 00 25 94 1a 74 8b 34 30 6b 47 2f |......%..t.40kG/| -000001f0 96 8b 64 67 91 9a 00 00 59 00 25 d5 0c 02 a7 67 |..dg....Y.%....g| -00000200 26 0f 09 ae bf 28 a0 f0 bb 64 00 00 dd 00 f0 54 |&....(...d.....T| -00000210 d4 e4 bb e0 ba e2 82 7c a1 8e 88 97 91 9d 00 00 |.......|........| -00000220 13 00 ca 7a 15 2e 2b 8b 62 64 8f 7f d3 6d 15 84 |...z..+.bd...m..| -00000230 1a af 00 00 65 00 fe b0 54 f9 65 d0 67 0a 11 0f |....e...T.e.g...| -00000240 85 86 9a 24 dc 19 00 00 ab 00 2e dd c2 56 14 ae |...$.........V..| -00000250 3c 81 c6 16 8e eb c8 ab b7 c2 00 00 90 00 51 28 |<.............Q(| -00000260 f9 b9 03 2b 11 79 ec 9f da 61 01 64 b6 2e 00 00 |...+.y...a.d....| -00000270 9e 00 91 e0 a5 da e7 cd e3 56 e6 0f da 12 7e 94 |.........V....~.| -00000280 f3 e5 00 00 be 00 65 ea f4 5b 0d 93 46 7f 13 35 |......e..[..F..5| -00000290 ba 1f 7f 80 93 1d 00 00 be 00 24 76 b3 1b cf d1 |..........$v....| -000002a0 0e 25 d0 66 ed f8 bc 56 30 5c 00 00 72 00 38 e2 |.%.f...V0\..r.8.| -000002b0 c5 82 b7 c6 c7 4a 58 55 23 30 8d c5 95 ef 00 00 |.....JXU#0......| -000002c0 86 00 ad eb e6 b8 b5 0f c8 a0 66 a0 eb d6 ca 14 |..........f.....| -000002d0 66 85 00 00 77 00 0b 3c 45 27 8a c3 4e dd d2 43 |f...w...c1..| -00000360 f9 00 a9 ab 0d 89 fb bb 01 dc 24 aa 10 a4 47 96 |..........$...G.| -00000370 d1 ab 00 00 1b 00 b9 9d a7 b6 aa bd 6e 2b 3f f2 |............n+?.| -00000380 56 c6 28 d0 85 a7 00 00 83 00 23 75 70 a3 d5 49 |V.(.......#up..I| -00000390 73 a0 0c 8b 8f db 14 20 2e 9a 00 00 fc 00 a3 53 |s...... .......S| -000003a0 26 1c a4 cf 72 ad 9e 0f a7 fa 50 50 6d 56 00 00 |&...r.....PPmV..| -000003b0 d4 00 c4 40 bc 7d 1f d8 f0 69 d5 dd b9 ed 87 1c |...@.}...i......| -000003c0 a3 4b 00 00 16 00 9a 05 85 b7 bf a2 af 59 11 af |.K...........Y..| -000003d0 09 14 84 01 a8 e9 00 00 2c 00 7b 75 e1 27 15 a8 |........,.{u.'..| -000003e0 f0 d4 16 28 12 81 2e 24 5b 71 00 00 c0 00 9f 9f |...(...$[q......| -000003f0 48 f3 c6 4f aa 62 4d 96 b5 6f c3 46 16 dc 00 00 |H..O.bM..o.F....| -00000400 9e 00 ca 18 8d 56 85 c1 f3 24 29 3a 34 ec e4 de |.....V...$):4...| -00000410 ce 10 00 00 4f 00 7e cd 6c e4 e9 da 5d 74 4e e9 |....O.~.l...]tN.| -00000420 b3 cb 56 19 8b 5d 00 00 8f 00 a7 63 66 6c 0a 11 |..V..].....cfl..| -00000430 06 20 5b 5a b6 36 90 a9 8c 0a 00 00 a3 00 12 08 |. [Z.6..........| -00000440 2f 4e 2c 02 eb 66 b5 6d b0 fd f4 ec 31 21 00 00 |/N,..f.m....1!..| -00000450 f3 00 34 a7 c6 4c 0a 49 96 bb 1f 64 3d 5e 5e e7 |..4..L.I...d=^^.| -00000460 52 a5 00 00 ea 00 2d 53 8c a0 27 15 53 33 ed 9c |R.....-S..'.S3..| -00000470 0d d0 dd 68 a3 7d 00 00 c0 00 f2 c1 8e 89 b6 45 |...h.}.........E| -00000480 fb dc 9e 00 e9 d8 99 13 21 45 00 00 2b 00 e7 e2 |........!E..+...| -00000490 12 d1 80 a4 8e 66 3d 6f 8d 54 8b a3 db 79 00 00 |.....f=o.T...y..| -000004a0 0d 00 fb c9 55 2c b2 da d5 16 aa 9e dc bd 40 e2 |....U,........@.| -000004b0 c0 fd 00 00 b3 00 36 ea 69 83 6c 14 dd 75 5c 37 |......6.i.l..u\7| -000004c0 2a 6c f6 b0 91 46 00 00 d1 00 cd 4d cf 83 55 5c |*l...F.....M..U\| -000004d0 22 02 01 5e 5b b4 c3 d5 26 5b 00 00 3e 00 c8 54 |"..^[...&[..>..T| -000004e0 af 63 56 71 8b 46 2e c8 ba 32 fc 2e 00 bc 00 00 |.cVq.F...2......| -000004f0 9a 00 db 6b fc 15 a6 df b3 db 47 32 ed c9 3c 75 |...k......G2....-F..| -000005e0 5f 00 fd f7 c6 92 8a a6 f4 60 f8 75 32 1c 6d 90 |_........`.u2.m.| -000005f0 30 da 00 00 b3 00 f1 e6 53 6f 4f 84 31 ba a1 62 |0.......SoO.1..b| -00000600 d5 ef 5b f4 f5 a6 00 00 e8 00 5c 66 93 78 5f f1 |..[.......\f.x_.| -00000610 ca ee 5d 9d 71 47 6e 38 f1 40 00 00 61 00 7e 2c |..].qGn8.@..a.~,| -00000620 36 30 48 1e 60 bb 42 b2 c7 67 92 75 74 31 00 00 |60H.`.B..g.ut1..| -00000630 ee 00 99 7d 37 bd 17 fe 0c c0 21 22 26 0b 5f fd |...}7.....!"&._.| -00000640 c0 44 00 00 18 00 b5 a2 e6 8c 7b 78 52 b4 f7 50 |.D........{xR..P| -00000650 bf 72 41 f7 46 56 00 00 8f 00 08 14 a3 1d 6c c2 |.rA.FV........l.| -00000660 8e 00 44 4d 29 e6 ea 36 ab 91 00 00 56 00 c3 36 |..DM)..6....V..6| -00000670 38 13 45 93 74 67 a0 b7 25 e1 9f 4b b3 b6 00 00 |8.E.tg..%..K....| -00000680 c7 00 fd 82 17 2d 21 f4 8a 3e 95 f0 6a 2f 80 45 |.....-!..>..j/.E| -00000690 77 41 00 00 da 00 65 a1 39 93 fb ea b4 8e dc c7 |wA....e.9.......| -000006a0 66 11 a5 13 41 6c 00 00 28 00 b4 1e 36 26 60 27 |f...Al..(...6&`'| -000006b0 20 ec 14 da 65 4a 39 0c 1c c4 00 00 b9 00 b9 07 | ...eJ9.........| -000006c0 11 72 78 b1 05 e8 13 1f c0 55 b4 ef 5b 4b 00 00 |.rx......U..[K..| -000006d0 ea 00 0d 26 44 91 37 9c 11 bf 8d 0a ba ad c2 6b |...&D.7........k| -000006e0 58 c6 00 00 40 00 0f e7 6c 58 26 21 44 b3 3c 78 |X...@...lX&!D......EL..M..| -000008b0 f7 00 69 7f 3a 1c 28 06 7f 18 3b 7d a6 18 46 1d |..i.:.(...;}..F.| -000008c0 33 0b 00 00 24 00 a4 35 d8 60 0a 76 98 ce bf d8 |3...$..5.`.v....| -000008d0 b6 60 a6 64 af 72 00 00 b5 00 d1 9b 77 4d 18 30 |.`.d.r......wM.0| -000008e0 0c f2 5d 7d 19 10 51 b6 6b df 00 00 ba 00 43 2e |..]}..Q.k.....C.| -000008f0 61 28 df 2a 2e f3 60 78 12 69 2a 37 b5 59 00 00 |a(.*..`x.i*7.Y..| -00000900 a9 00 74 37 15 e9 6b 99 5a 25 3a 88 90 45 38 a6 |..t7..k.Z%:..E8.| -00000910 5b 72 00 00 fe 00 b7 3c 25 42 5c 91 e6 db 60 32 |[r.....<%B\...`2| -00000920 c8 71 1a 0e ab 63 00 00 60 00 a3 b7 ba 1d 3c 5d |.q...c..`.....<]| -00000930 87 c3 3c 9e 1b e8 1c b0 2f 55 00 00 d7 00 45 af |..<...../U....E.| -00000940 a8 2e d9 ae 18 90 6b d2 ee b8 1d 95 ca 05 00 00 |......k.........| -00000950 03 00 a1 b5 6b ec b3 4a 95 9f 30 08 2d bf 75 80 |....k..J..0.-.u.| -00000960 9a cf 00 00 98 00 8d 3f bd ba f0 3e 75 ae 41 31 |.......?...>u.A1| -00000970 97 eb ec 0c 25 92 00 00 51 00 69 91 88 5d 31 3b |....%...Q.i..]1;| -00000980 73 c6 2d 4a af d2 16 7b 06 e2 00 00 48 00 39 06 |s.-J...{....H.9.| -00000990 6c 4b 75 82 d0 06 b1 49 7e f9 19 7d 62 32 00 00 |lKu....I~..}b2..| -000009a0 eb 00 b8 b1 75 bb c3 25 47 eb 90 6d c3 49 04 d6 |....u..%G..m.I..| -000009b0 fe 9e 00 00 0a 00 9d 06 79 5f f8 68 0a 65 ff b2 |........y_.h.e..| -000009c0 b7 49 0e 37 83 6d 00 00 9d 00 2b ce 48 a1 f1 ae |.I.7.m....+.H...| -000009d0 6b 5c 72 08 68 09 3a 85 7d 3c 00 00 f1 00 44 2f |k\r.h.:.}<....D/| -000009e0 19 49 ba ca 3f e9 63 86 f4 a5 75 1c 28 e8 00 00 |.I..?.c...u.(...| -000009f0 4f 00 53 00 16 38 28 51 c2 e8 66 ba ff 88 02 41 |O.S..8(Q..f....A| +00000000 00 00 00 00 00 00 00 00 50 92 86 96 c4 fb 98 4c |........P......L| +00000010 d2 46 d3 ea 00 00 00 00 00 00 00 00 9a af 9e ae |.F..............| +00000020 ee 10 c1 38 9e 94 98 48 00 00 00 00 00 00 00 00 |...8...H........| +00000030 d7 bc 38 fa ee 40 78 3e 33 e5 7e c1 00 00 00 00 |..8..@x>3.~.....| +00000040 00 00 00 00 db 9e 1d 23 44 fa 9a b2 e8 07 00 aa |.......#D.......| +00000050 00 00 00 00 00 00 00 00 90 86 9c 33 a9 7b 6b dc |...........3.{k.| +00000060 a3 98 c0 81 00 00 00 00 00 00 00 00 3c 60 55 be |............<`U.| +00000070 9c d3 23 40 a2 13 34 67 00 00 00 00 00 00 00 00 |..#@..4g........| +00000080 f9 2f b4 08 98 55 73 c0 6e 85 e6 a1 00 00 00 00 |./...Us.n.......| +00000090 00 00 00 00 53 3e 32 9f 4e 6f 92 c0 6a 6d f3 93 |....S>2.No..jm..| +000000a0 00 00 00 00 00 00 00 00 67 f4 95 9e 46 ed b1 e2 |........g...F...| +000000b0 46 dc b7 8d 00 00 00 00 00 00 00 00 c5 1c 84 9a |F...............| +000000c0 80 db c0 a6 51 85 37 49 00 00 00 00 00 00 00 00 |....Q.7I........| +000000d0 e7 53 dc 47 3e fc 7e 55 c3 c0 70 8e 00 00 00 00 |.S.G>.~U..p.....| +000000e0 00 00 00 00 0c d5 11 c0 70 77 bd 5b 6d db 20 59 |........pw.[m. Y| +000000f0 00 00 00 00 00 00 00 00 44 d7 4b e3 c9 b9 9b 3b |........D.K....;| +00000100 f1 88 0d 77 00 00 00 00 00 00 00 00 c9 bf 70 90 |...w..........p.| +00000110 7d 33 7f 9a 49 5f f3 36 00 00 00 00 00 00 00 00 |}3..I_.6........| +00000120 98 20 87 f2 21 58 fc f1 1c 62 2c 2e 00 00 00 00 |. ..!X...b,.....| +00000130 00 00 00 00 a7 18 6d e9 aa 7d 7b 04 80 1e 4c bf |......m..}{...L.| +00000140 00 00 00 00 00 00 00 00 f2 0d ff f0 d0 4f 68 88 |.............Oh.| +00000150 0e 35 28 3d 00 00 00 00 00 00 00 00 20 87 4a cd |.5(=........ .J.| +00000160 66 ad bc a5 57 32 3f eb 00 00 00 00 00 00 00 00 |f...W2?.........| +00000170 2b a9 9e e7 74 d0 9e e3 d4 d8 96 22 00 00 00 00 |+...t......"....| +00000180 00 00 00 00 17 e2 8f ce 99 6b 45 af 0d 6e 6d 62 |.........kE..nmb| +00000190 00 00 00 00 00 00 00 00 e5 56 ef 65 06 8c 37 b3 |.........V.e..7.| +000001a0 e1 b6 11 fd 00 00 00 00 00 00 00 00 e8 0e 57 2c |..............W,| +000001b0 a8 6f 00 74 7c 50 7b e6 00 00 00 00 00 00 00 00 |.o.t|P{.........| +000001c0 4a e1 35 33 18 8c f8 94 eb 16 87 51 00 00 00 00 |J.53.......Q....| +000001d0 00 00 00 00 67 ac 34 75 35 81 86 e8 37 1d a4 d2 |....g.4u5...7...| +000001e0 00 00 00 00 00 00 00 00 f7 7b 83 35 32 db af c3 |.........{.52...| +000001f0 8b 77 5f ff 00 00 00 00 00 00 00 00 fc 72 9b 8c |.w_..........r..| +00000200 d0 22 00 56 b8 f8 39 e0 00 00 00 00 00 00 00 00 |.".V..9.........| +00000210 a6 12 f8 ba 21 d0 a9 30 93 14 f2 1d 00 00 00 00 |....!..0........| +00000220 00 00 00 00 30 79 cd c6 80 64 5b c0 a7 7b 4f 54 |....0y...d[..{OT| +00000230 00 00 00 00 00 00 00 00 26 ea 95 22 54 09 31 10 |........&.."T.1.| +00000240 d1 1c 61 bf 00 00 00 00 00 00 00 00 4b b6 33 0d |..a.........K.3.| +00000250 86 5d 3d c7 d9 14 0b 32 00 00 00 00 00 00 00 00 |.]=....2........| +00000260 e5 b8 c4 3f 2f ba a3 d7 72 cf 5f 7d 00 00 00 00 |...?/...r._}....| +00000270 00 00 00 00 f9 75 ee 4e 54 b1 6f 84 d2 b2 92 c3 |.....u.NT.o.....| +00000280 00 00 00 00 00 00 00 00 40 d6 32 91 4f f5 da 04 |........@.2.O...| +00000290 5f 99 cc 60 00 00 00 00 00 00 00 00 1c 32 14 39 |_..`.........2.9| +000002a0 46 fc ba 7b 69 fe fc 28 00 00 00 00 00 00 00 00 |F..{i..(........| +000002b0 79 80 dc 0f ee ce df 05 24 af 1c 29 00 00 00 00 |y.......$..)....| +000002c0 00 00 00 00 d1 55 5c 1f 2f cb 9b 8c 3d 52 29 cf |.....U\./...=R).| +000002d0 00 00 00 00 00 00 00 00 b3 34 2a e0 95 47 5a a6 |.........4*..GZ.| +000002e0 13 1e 7c aa 00 00 00 00 00 00 00 00 f0 5b ce 8f |..|..........[..| +000002f0 bf 20 18 fe b6 53 02 38 00 00 00 00 00 00 00 00 |. ...S.8........| +00000300 9a 82 1b d2 11 eb f0 ae d9 a6 38 e7 00 00 00 00 |..........8.....| +00000310 00 00 00 00 a1 3d fd c8 50 0f 71 90 0a 1f d6 65 |.....=..P.q....e| +00000320 00 00 00 00 00 00 00 00 95 5a c9 cc 8c 43 c6 fe |.........Z...C..| +00000330 2b 09 b6 e8 00 00 00 00 00 00 00 00 79 1b 36 49 |+...........y.6I| +00000340 cf ee 33 11 0c 7a 71 fa 00 00 00 00 00 00 00 00 |..3..zq.........| +00000350 7b 01 60 e3 b8 5b 4e c5 65 bc a4 09 00 00 00 00 |{.`..[N.e.......| +00000360 00 00 00 00 7b 80 54 bb 6b 88 6d 99 2b db 8e be |....{.T.k.m.+...| +00000370 00 00 00 00 00 00 00 00 b0 22 c9 1d fe ac c2 21 |.........".....!| +00000380 aa e2 b6 c4 00 00 00 00 00 00 00 00 20 6f 96 64 |............ o.d| +00000390 9e df 7b 02 72 d0 68 cd 00 00 00 00 00 00 00 00 |..{.r.h.........| +000003a0 2b 47 a7 1f 86 6c 72 db 52 84 10 78 00 00 00 00 |+G...lr.R..x....| +000003b0 00 00 00 00 6f b7 7a 21 75 bc 06 f0 dd f3 08 26 |....o.z!u......&| +000003c0 00 00 00 00 00 00 00 00 22 53 5c 70 db db 8f 82 |........"S\p....| +000003d0 39 d4 da 90 00 00 00 00 00 00 00 00 ef 00 81 99 |9...............| +000003e0 74 55 62 22 d0 f4 29 bb 00 00 00 00 00 00 00 00 |tUb"..).........| +000003f0 44 45 0a 8d 6a 35 6e 74 4f 69 7d 38 00 00 00 00 |DE..j5ntOi}8....| +00000400 00 00 00 00 aa 00 87 5a e1 d9 49 83 a8 64 20 c7 |.......Z..I..d .| +00000410 00 00 00 00 00 00 00 00 be b1 38 64 81 bc 58 2a |..........8d..X*| +00000420 aa d8 b9 68 00 00 00 00 00 00 00 00 30 4a 3c 76 |...h........0J..4'.| +00000470 c2 05 ed ad 00 00 00 00 00 00 00 00 bd b9 fb 72 |...............r| +00000480 38 45 a4 6e 10 58 0b 85 00 00 00 00 00 00 00 00 |8E.n.X..........| +00000490 2c cb a0 1c 27 6a 24 1f ee 31 cc dc 00 00 00 00 |,...'j$..1......| +000004a0 00 00 00 00 18 f7 19 7c 43 15 c2 40 35 0e 3e 9d |.......|C..@5.>.| +000004b0 00 00 00 00 00 00 00 00 e8 d5 74 22 6c a4 4a 6b |..........t"l.Jk| +000004c0 89 f7 0a f6 00 00 00 00 00 00 00 00 90 63 f6 f4 |.............c..| +000004d0 8f 6a 54 a0 4e 79 db d0 00 00 00 00 00 00 00 00 |.jT.Ny..........| +000004e0 d1 85 be 6f d6 ad 1f 53 ad 9a ef 79 00 00 00 00 |...o...S...y....| +000004f0 00 00 00 00 db 62 d3 f9 78 00 e2 48 28 50 e4 16 |.....b..x..H(P..| +00000500 00 00 00 00 00 00 00 00 66 0b cb 95 ff 7e cc 4b |........f....~.K| +00000510 bd 16 fc aa 00 00 00 00 00 00 00 00 7b c0 c6 9f |............{...| +00000520 29 6e 50 a7 fa bd ac f7 00 00 00 00 00 00 00 00 |)nP.............| +00000530 f7 10 d9 62 7d 21 1d e5 c4 6e c9 09 00 00 00 00 |...b}!...n......| +00000540 00 00 00 00 c0 50 bc 9d 73 be b8 eb ad 5e d9 ad |.....P..s....^..| +00000550 00 00 00 00 00 00 00 00 a3 c2 78 eb a6 48 fc bf |..........x..H..| +00000560 95 c8 66 d9 00 00 00 00 00 00 00 00 17 58 75 e6 |..f..........Xu.| +00000570 91 1b 97 03 f0 93 5b 1c 00 00 00 00 00 00 00 00 |......[.........| +00000580 b6 ad 6b 9b 7d ba 0b 85 2c df e2 04 00 00 00 00 |..k.}...,.......| +00000590 00 00 00 00 4e f7 c9 1c 42 9a fc f3 1a 67 60 07 |....N...B....g`.| +000005a0 00 00 00 00 00 00 00 00 e7 ed c0 3c a0 0c e5 5f |...........<..._| +000005b0 09 39 8e fe 00 00 00 00 00 00 00 00 15 a7 82 c0 |.9..............| +000005c0 76 35 69 1d 7b bc a0 3f 00 00 00 00 00 00 00 00 |v5i.{..?........| +000005d0 ad 6d cf 6d 5f 41 1c e3 ac 1e 4f d8 00 00 00 00 |.m.m_A....O.....| +000005e0 00 00 00 00 f4 10 ea a8 be d7 8f f1 c6 d7 ee 51 |...............Q| +000005f0 00 00 00 00 00 00 00 00 64 d0 da 1e 57 cd 84 e4 |........d...W...| +00000600 9c 11 fc 93 00 00 00 00 00 00 00 00 5a ac 06 77 |............Z..w| +00000610 11 3f 06 bb cb 66 ef cb 00 00 00 00 00 00 00 00 |.?...f..........| +00000620 41 0e 1d 98 40 09 41 3c d7 f4 36 74 00 00 00 00 |A...@.A<..6t....| +00000630 00 00 00 00 31 b2 b7 e2 41 2f ea 05 c2 1a 75 52 |....1...A/....uR| +00000640 00 00 00 00 00 00 00 00 be ef ae 23 65 15 ae b8 |...........#e...| +00000650 6a 22 48 49 00 00 00 00 00 00 00 00 b0 86 75 c9 |j"HI..........u.| +00000660 dc 18 10 d1 02 ef 17 4d 00 00 00 00 00 00 00 00 |.......M........| +00000670 fb 5d 0c fb 0e 89 f1 ad bc 20 92 cd 00 00 00 00 |.]....... ......| +00000680 00 00 00 00 a6 21 84 09 ab a4 65 ee 79 75 39 62 |.....!....e.yu9b| +00000690 00 00 00 00 00 00 00 00 93 5a 1f 6c c1 dd f8 97 |.........Z.l....| +000006a0 f8 1c 28 ec 00 00 00 00 00 00 00 00 bd fe bf be |..(.............| +000006b0 1d db 35 ca e6 86 7f d7 00 00 00 00 00 00 00 00 |..5.............| +000006c0 38 7e 22 ff 02 7b b0 56 0a 9e 98 ee 00 00 00 00 |8~"..{.V........| +000006d0 00 00 00 00 63 38 80 7d ea 87 29 25 e6 a8 8a ed |....c8.}..)%....| +000006e0 00 00 00 00 00 00 00 00 32 18 90 69 1a ae ed d2 |........2..i....| +000006f0 97 e8 82 5f 00 00 00 00 00 00 00 00 f0 79 4f b2 |..._.........yO.| +00000700 76 0a ee d0 3a fd 4e 77 00 00 00 00 00 00 00 00 |v...:.Nw........| +00000710 f2 8f 37 34 67 d5 a6 fa 35 06 03 23 00 00 00 00 |..74g...5..#....| +00000720 00 00 00 00 2b ef c5 b5 17 54 da 3a 4a c3 3e 61 |....+....T.:J.>a| +00000730 00 00 00 00 00 00 00 00 cd 7d a4 7f 82 48 48 ad |.........}...HH.| +00000740 c5 8e e2 ca 00 00 00 00 00 00 00 00 91 90 40 66 |..............@f| +00000750 e0 3f d9 4a b6 3e 92 5e 00 00 00 00 00 00 00 00 |.?.J.>.^........| +00000760 f2 59 8d 8c bf 5f a1 63 81 eb fe 0e 00 00 00 00 |.Y..._.c........| +00000770 00 00 00 00 c0 c3 a0 b6 5c d7 67 f6 ac 17 94 94 |........\.g.....| +00000780 00 00 00 00 00 00 00 00 c3 14 3d 8b 56 ee 97 11 |..........=.V...| +00000790 8a 75 26 a3 00 00 00 00 00 00 00 00 9f 23 05 8b |.u&..........#..| +000007a0 84 bc aa 40 ca 8f 74 0c 00 00 00 00 00 00 00 00 |...@..t.........| +000007b0 d0 79 7a 23 7f 4f 84 81 07 7e 7d e5 00 00 00 00 |.yz#.O...~}.....| +000007c0 00 00 00 00 a1 86 b0 ed 2c d1 be 31 51 d6 17 6e |........,..1Q..n| +000007d0 00 00 00 00 00 00 00 00 fb 3c 3e c0 a7 9e ec a9 |.........<>.....| +000007e0 b7 54 92 5c 00 00 00 00 00 00 00 00 8e b5 d8 a8 |.T.\............| +000007f0 35 88 b4 6d 6a 5d 7d 25 00 00 00 00 00 00 00 00 |5..mj]}%........| +00000800 6c e0 6f dd 8f a0 7e 25 be c4 af 16 00 00 00 00 |l.o...~%........| +00000810 00 00 00 00 11 8d 49 6b b3 fd 29 1c a1 09 6e 95 |......Ik..)...n.| +00000820 00 00 00 00 00 00 00 00 74 6f ee ee a4 f9 0d b7 |........to......| +00000830 1a b2 42 bc 00 00 00 00 00 00 00 00 1c f7 25 28 |..B...........%(| +00000840 58 89 d5 fa 86 26 4f 1f 00 00 00 00 00 00 00 00 |X....&O.........| +00000850 51 d0 f7 23 1a ce d6 d3 f5 19 bc 66 00 00 00 00 |Q..#.......f....| +00000860 00 00 00 00 17 e3 32 83 c6 5a f6 0c 7f b4 00 dc |......2..Z......| +00000870 00 00 00 00 00 00 00 00 d4 5b fe 66 d9 b0 65 6f |.........[.f..eo| +00000880 5b a5 03 b3 00 00 00 00 00 00 00 00 5b fd 6f ba |[...........[.o.| +00000890 da 46 11 aa 53 37 13 06 00 00 00 00 00 00 00 00 |.F..S7..........| +000008a0 3f 68 26 9d a1 ff f5 59 84 a8 5a 07 00 00 00 00 |?h&....Y..Z.....| +000008b0 00 00 00 00 f1 d3 80 e7 a8 b3 0d 97 d5 a4 46 a0 |..............F.| +000008c0 00 00 00 00 00 00 00 00 ab 1f f3 1d 64 eb 9b 91 |............d...| +000008d0 be 27 8e b2 00 00 00 00 00 00 00 00 08 fb 8f 73 |.'.............s| +000008e0 af 44 d3 a1 1a 81 be be 00 00 00 00 00 00 00 00 |.D..............| +000008f0 f0 cd 2d 51 b8 7c 6f df 5e 7d a5 b1 00 00 00 00 |..-Q.|o.^}......| +00000900 00 00 00 00 08 9d db d9 ce 43 bf 26 7d 2e 05 43 |.........C.&}..C| +00000910 00 00 00 00 00 00 00 00 13 61 d4 7c 7b 4b 0a f9 |.........a.|{K..| +00000920 56 88 7c 92 00 00 00 00 00 00 00 00 89 85 5a 79 |V.|...........Zy| +00000930 20 c6 fd 7d 2d af e0 25 00 00 00 00 00 00 00 00 | ..}-..%........| +00000940 bd be 5b 8c 23 b2 b0 ca 89 dc 21 9c 00 00 00 00 |..[.#.....!.....| +00000950 00 00 00 00 3c 11 33 11 c0 13 a6 cd aa f3 06 05 |....<.3.........| +00000960 00 00 00 00 00 00 00 00 b2 41 94 47 7c 6f 32 b4 |.........A.G|o2.| +00000970 2f 18 6d 83 00 00 00 00 00 00 00 00 f3 cb d7 e5 |/.m.............| +00000980 37 21 47 b7 57 e0 9c e1 00 00 00 00 00 00 00 00 |7!G.W...........| +00000990 3f 06 45 58 78 1e 49 ff 93 d0 23 36 00 00 00 00 |?.EXx.I...#6....| +000009a0 00 00 00 00 ea 6c d7 d0 94 c3 bf 6b 5d f5 7f a0 |.....l.....k]...| +000009b0 00 00 00 00 00 00 00 00 d4 66 ae 75 11 3f de af |.........f.u.?..| +000009c0 0f ea 16 f0 00 00 00 00 00 00 00 00 d5 04 f6 9a |................| +000009d0 6a ee da 42 19 77 d1 75 00 00 00 00 00 00 00 00 |j..B.w.u........| +000009e0 00 d6 3a 24 77 ce ea c4 33 7f e2 d1 00 00 00 00 |..:$w...3.......| +000009f0 00 00 00 00 e1 5d df f8 8f bf ca c9 b8 f0 bf 93 |.....]..........| BRAM data (bank 1): 80 x 128 @ 0x00000000; 10240 bits = 1280 bytes try 1: -00000000 52 a1 fe cc 00 00 00 00 00 00 25 a3 4e 7a 00 00 |R.........%.Nz..| -00000010 00 00 00 00 f9 ca 0a fd 00 00 00 00 00 00 21 1a |..............!.| -00000020 8c 2a 00 00 00 00 00 00 aa 0b ba 86 00 00 00 00 |.*..............| -00000030 00 00 c3 b5 a2 4d 00 00 00 00 00 00 61 96 b4 d9 |.....M......a...| -00000040 00 00 00 00 00 00 6b 94 a3 70 00 00 00 00 00 00 |......k..p......| -00000050 d1 1e 2b 0a 00 00 00 00 00 00 76 25 03 51 00 00 |..+.......v%.Q..| -00000060 00 00 00 00 2a e5 ce dd 00 00 00 00 00 00 85 e3 |....*...........| -00000070 b4 90 00 00 00 00 00 00 a5 2e 0d ee 00 00 00 00 |................| -00000080 00 00 ac 3f 3a 28 00 00 00 00 00 00 6e 9f 66 99 |...?:(......n.f.| -00000090 00 00 00 00 00 00 c8 16 a5 f5 00 00 00 00 00 00 |................| -000000a0 cd 39 05 b4 00 00 00 00 00 00 d2 0a 8d 8a 00 00 |.9..............| -000000b0 00 00 00 00 81 70 9d de 00 00 00 00 00 00 e4 75 |.....p.........u| -000000c0 c9 31 00 00 00 00 00 00 f1 fd 6e 8f 00 00 00 00 |.1........n.....| -000000d0 00 00 eb 1d 93 1f 00 00 00 00 00 00 bf 57 e2 ce |.............W..| -000000e0 00 00 00 00 00 00 d9 71 74 a1 00 00 00 00 00 00 |.......qt.......| -000000f0 78 2c 76 8d 00 00 00 00 00 00 bf 45 6b d7 00 00 |x,v........Ek...| -00000100 00 00 00 00 54 d5 a1 a5 00 00 00 00 00 00 bf 47 |....T..........G| -00000110 03 2e 00 00 00 00 00 00 bd 06 9b d2 00 00 00 00 |................| -00000120 00 00 a3 3c e7 35 00 00 00 00 00 00 ec d8 61 3e |...<.5........a>| -00000130 00 00 00 00 00 00 a8 e1 6f 27 00 00 00 00 00 00 |........o'......| -00000140 b8 76 f9 bc 00 00 00 00 00 00 b8 77 ac 7d 00 00 |.v.........w.}..| -00000150 00 00 00 00 fb b8 7b e4 00 00 00 00 00 00 12 26 |......{........&| -00000160 56 d5 00 00 00 00 00 00 0a 01 06 a1 00 00 00 00 |V...............| -00000170 00 00 31 1d 68 2a 00 00 00 00 00 00 d3 f9 76 8b |..1.h*........v.| -00000180 00 00 00 00 00 00 d2 4a 48 11 00 00 00 00 00 00 |.......JH.......| -00000190 47 2e 32 ab 00 00 00 00 00 00 04 46 49 00 00 00 |G.2........FI...| -000001a0 00 00 00 00 ac 89 ce 15 00 00 00 00 00 00 f4 a1 |................| -000001b0 5e 55 00 00 00 00 00 00 34 9a 82 2b 00 00 00 00 |^U......4..+....| -000001c0 00 00 59 56 62 07 00 00 00 00 00 00 be e0 ed c0 |..YVb...........| -000001d0 00 00 00 00 00 00 66 d9 da 36 00 00 00 00 00 00 |......f..6......| -000001e0 5c 1c 42 b5 00 00 00 00 00 00 67 19 f9 c0 00 00 |\.B.......g.....| -000001f0 00 00 00 00 b0 1f ce ed 00 00 00 00 00 00 70 e6 |..............p.| -00000200 16 7c 00 00 00 00 00 00 47 71 e7 61 00 00 00 00 |.|......Gq.a....| -00000210 00 00 a3 13 3e 55 00 00 00 00 00 00 5b 93 40 44 |....>U......[.@D| -00000220 00 00 00 00 00 00 51 79 b6 a3 00 00 00 00 00 00 |......Qy........| -00000230 7c 92 e5 37 00 00 00 00 00 00 69 85 6a d8 00 00 ||..7......i.j...| -00000240 00 00 00 00 e8 91 c5 fe 00 00 00 00 00 00 03 56 |...............V| -00000250 0e a3 00 00 00 00 00 00 31 b3 46 3d 00 00 00 00 |........1.F=....| -00000260 00 00 3e 3b a0 48 00 00 00 00 00 00 1a 39 43 b7 |..>;.H.......9C.| -00000270 00 00 00 00 00 00 87 eb 59 d3 00 00 00 00 00 00 |........Y.......| -00000280 20 53 fe c8 00 00 00 00 00 00 0d 8b 98 cc 00 00 | S..............| -00000290 00 00 00 00 aa 2f 9e 47 00 00 00 00 00 00 59 e3 |...../.G......Y.| -000002a0 a5 1d 00 00 00 00 00 00 be 93 7f d2 00 00 00 00 |................| -000002b0 00 00 06 ae 3e d7 00 00 00 00 00 00 56 cf 34 f3 |....>.......V.4.| -000002c0 00 00 00 00 00 00 74 f0 93 f5 00 00 00 00 00 00 |......t.........| -000002d0 83 78 4a 64 00 00 00 00 00 00 a7 59 57 e8 00 00 |.xJd.......YW...| -000002e0 00 00 00 00 68 0b 00 26 00 00 00 00 00 00 3d 89 |....h..&......=.| -000002f0 1d c0 00 00 00 00 00 00 5b 21 6b d6 00 00 00 00 |........[!k.....| -00000300 00 00 60 59 d1 04 00 00 00 00 00 00 92 cf c3 23 |..`Y...........#| -00000310 00 00 00 00 00 00 f2 7f 2b b0 00 00 00 00 00 00 |........+.......| -00000320 81 dc 64 e4 00 00 00 00 00 00 cc 17 2b ee 00 00 |..d.........+...| -00000330 00 00 00 00 07 56 ce 7a 00 00 00 00 00 00 5c a3 |.....V.z......\.| -00000340 1b ba 00 00 00 00 00 00 1f d0 dd 47 00 00 00 00 |...........G....| -00000350 00 00 d2 de 29 f4 00 00 00 00 00 00 5f 90 62 1c |....)......._.b.| -00000360 00 00 00 00 00 00 fc 88 23 7a 00 00 00 00 00 00 |........#z......| -00000370 e2 e0 c3 60 00 00 00 00 00 00 34 1f 76 12 00 00 |...`......4.v...| -00000380 00 00 00 00 58 d2 3c e6 00 00 00 00 00 00 21 e5 |....X.<.......!.| -00000390 28 61 00 00 00 00 00 00 33 fc 47 58 00 00 00 00 |(a......3.GX....| -000003a0 00 00 ef d8 3c 88 00 00 00 00 00 00 d6 76 1e 5a |....<........v.Z| -000003b0 00 00 00 00 00 00 bc 08 0f 83 00 00 00 00 00 00 |................| -000003c0 7f 93 f0 b9 00 00 00 00 00 00 eb 2f f1 e1 00 00 |.........../....| -000003d0 00 00 00 00 9c 74 74 3e 00 00 00 00 00 00 fd 79 |.....tt>.......y| -000003e0 45 81 00 00 00 00 00 00 13 3f 59 39 00 00 00 00 |E........?Y9....| -000003f0 00 00 b0 16 8a a6 00 00 00 00 00 00 ef dd 1e 9b |................| -00000400 00 00 00 00 00 00 54 c1 46 2c 00 00 00 00 00 00 |......T.F,......| -00000410 d6 29 34 83 00 00 00 00 00 00 e8 62 89 1f 00 00 |.)4........b....| -00000420 00 00 00 00 b9 36 72 0c 00 00 00 00 00 00 5b 24 |.....6r.......[$| -00000430 1a 7c 00 00 00 00 00 00 79 71 d8 a4 00 00 00 00 |.|......yq......| -00000440 00 00 1a 73 62 8d 00 00 00 00 00 00 19 a9 93 15 |...sb...........| -00000450 00 00 00 00 00 00 ae 76 1c 04 00 00 00 00 00 00 |.......v........| -00000460 03 8e ca 37 00 00 00 00 00 00 5a 13 77 99 00 00 |...7......Z.w...| -00000470 00 00 00 00 c5 f5 ea da 00 00 00 00 00 00 ab ee |................| -00000480 0f d8 00 00 00 00 00 00 d5 51 03 17 00 00 00 00 |.........Q......| -00000490 00 00 86 07 af b0 00 00 00 00 00 00 d5 c5 e8 9b |................| -000004a0 00 00 00 00 00 00 47 5c 34 b0 00 00 00 00 00 00 |......G\4.......| -000004b0 50 e1 87 f5 00 00 00 00 00 00 2e 1f c6 68 00 00 |P............h..| -000004c0 00 00 00 00 16 67 67 aa 00 00 00 00 00 00 df cd |.....gg.........| -000004d0 c1 63 00 00 00 00 00 00 18 31 da 53 00 00 00 00 |.c.......1.S....| -000004e0 00 00 b6 b7 33 35 00 00 00 00 00 00 a4 ca 36 fc |....35........6.| -000004f0 00 00 00 00 00 00 35 e5 15 34 00 00 00 00 00 00 |......5..4......| +00000000 a8 a9 ff b7 00 00 00 00 00 00 41 d0 e2 c2 00 00 |..........A.....| +00000010 00 00 00 00 32 d5 2d f7 00 00 00 00 00 00 50 b1 |....2.-.......P.| +00000020 96 fa 00 00 00 00 00 00 ee 17 ce b0 00 00 00 00 |................| +00000030 00 00 77 c4 9a d1 00 00 00 00 00 00 76 94 c3 ce |..w.........v...| +00000040 00 00 00 00 00 00 21 ec 2d c8 00 00 00 00 00 00 |......!.-.......| +00000050 5d b2 6e b3 00 00 00 00 00 00 8b 95 95 e0 00 00 |].n.............| +00000060 00 00 00 00 c2 1b 04 a7 00 00 00 00 00 00 0a 45 |...............E| +00000070 89 78 00 00 00 00 00 00 5c 60 fb 8c 00 00 00 00 |.x......\`......| +00000080 00 00 77 e1 5a 83 00 00 00 00 00 00 3d 83 5d 95 |..w.Z.......=.].| +00000090 00 00 00 00 00 00 08 25 d8 09 00 00 00 00 00 00 |.......%........| +000000a0 b3 02 12 f1 00 00 00 00 00 00 1c 77 08 57 00 00 |...........w.W..| +000000b0 00 00 00 00 26 64 61 87 00 00 00 00 00 00 a9 b3 |....&da.........| +000000c0 5c 85 00 00 00 00 00 00 83 71 74 67 00 00 00 00 |\........qtg....| +000000d0 00 00 71 3a 23 b7 00 00 00 00 00 00 79 70 39 e3 |..q:#.......yp9.| +000000e0 00 00 00 00 00 00 e7 d6 e7 27 00 00 00 00 00 00 |.........'......| +000000f0 de 2a 48 98 00 00 00 00 00 00 1d ab e8 84 00 00 |.*H.............| +00000100 00 00 00 00 62 2e c2 62 00 00 00 00 00 00 92 76 |....b..b.......v| +00000110 53 01 00 00 00 00 00 00 bc fb 1b 76 00 00 00 00 |S..........v....| +00000120 00 00 94 8d 12 e8 00 00 00 00 00 00 2f bb 08 28 |............/..(| +00000130 00 00 00 00 00 00 2b 84 22 2e 00 00 00 00 00 00 |......+.".......| +00000140 9a 1f 56 e0 00 00 00 00 00 00 45 16 eb 3b 00 00 |..V.......E..;..| +00000150 00 00 00 00 30 d0 4e 56 00 00 00 00 00 00 16 2e |....0.NV........| +00000160 97 e9 00 00 00 00 00 00 69 30 bd b9 00 00 00 00 |........i0......| +00000170 00 00 c0 01 1d fa 00 00 00 00 00 00 08 86 e9 46 |...............F| +00000180 00 00 00 00 00 00 45 9e 89 6d 00 00 00 00 00 00 |......E..m......| +00000190 ed de fe 45 00 00 00 00 00 00 18 40 bd 13 00 00 |...E.......@....| +000001a0 00 00 00 00 cf 55 4a 6c 00 00 00 00 00 00 26 2d |.....UJl......&-| +000001b0 33 18 00 00 00 00 00 00 c6 22 97 9e 00 00 00 00 |3........"......| +000001c0 00 00 93 3c f7 b0 00 00 00 00 00 00 47 d1 f9 13 |...<........G...| +000001d0 00 00 00 00 00 00 78 f8 25 c9 00 00 00 00 00 00 |......x.%.......| +000001e0 8d 28 e4 90 00 00 00 00 00 00 2a 61 ca 33 00 00 |.(........*a.3..| +000001f0 00 00 00 00 7d 93 63 b8 00 00 00 00 00 00 dd 0b |....}.c.........| +00000200 eb c4 00 00 00 00 00 00 62 1c 75 a8 00 00 00 00 |........b.u.....| +00000210 00 00 3a ab 02 66 00 00 00 00 00 00 00 14 07 c6 |..:..f..........| +00000220 00 00 00 00 00 00 ee 3e 74 25 00 00 00 00 00 00 |.......>t%......| +00000230 ad 12 97 2f 00 00 00 00 00 00 31 6b f9 6a 00 00 |.../......1k.j..| +00000240 00 00 00 00 0d 31 e0 e7 00 00 00 00 00 00 ee 0e |.....1..........| +00000250 37 95 00 00 00 00 00 00 d9 b5 e5 4e 00 00 00 00 |7..........N....| +00000260 00 00 e5 7f 38 81 00 00 00 00 00 00 a8 09 5f 55 |....8........._U| +00000270 00 00 00 00 00 00 74 cf 31 c6 00 00 00 00 00 00 |......t.1.......| +00000280 14 f5 2e a0 00 00 00 00 00 00 1c ae 5f a7 00 00 |............_...| +00000290 00 00 00 00 fe 1c d3 88 00 00 00 00 00 00 0b bf |................| +000002a0 5f ca 00 00 00 00 00 00 ae ab 2b 2a 00 00 00 00 |_.........+*....| +000002b0 00 00 71 96 11 4c 00 00 00 00 00 00 87 e1 37 f8 |..q..L........7.| +000002c0 00 00 00 00 00 00 dc b3 60 64 00 00 00 00 00 00 |........`d......| +000002d0 1b a3 73 a8 00 00 00 00 00 00 7c 7b 5e 10 00 00 |..s.......|{^...| +000002e0 00 00 00 00 b3 3b a4 eb 00 00 00 00 00 00 97 dc |.....;..........| +000002f0 c6 31 00 00 00 00 00 00 cf 97 93 91 00 00 00 00 |.1..............| +00000300 00 00 e6 56 b6 39 00 00 00 00 00 00 17 1d a8 82 |...V.9..........| +00000310 00 00 00 00 00 00 8a f7 29 56 00 00 00 00 00 00 |........)V......| +00000320 3c 5c 97 1a 00 00 00 00 00 00 76 58 50 1c 00 00 |<\........vXP...| +00000330 00 00 00 00 4a bf eb 68 00 00 00 00 00 00 de 80 |....J..h........| +00000340 bc cf 00 00 00 00 00 00 dc 91 8e 22 00 00 00 00 |..........."....| +00000350 00 00 63 4b ea 53 00 00 00 00 00 00 d9 b6 ae 74 |..cK.S.........t| +00000360 00 00 00 00 00 00 8e e7 77 82 00 00 00 00 00 00 |........w.......| +00000370 a3 70 23 de 00 00 00 00 00 00 52 d2 53 2d 00 00 |.p#.......R.S-..| +00000380 00 00 00 00 ce fa 5f e8 00 00 00 00 00 00 99 db |......_.........| +00000390 be 9e 00 00 00 00 00 00 b1 53 da 85 00 00 00 00 |.........S......| +000003a0 00 00 82 e3 4d ab 00 00 00 00 00 00 60 14 36 b0 |....M.......`.6.| +000003b0 00 00 00 00 00 00 c7 9e 27 9b 00 00 00 00 00 00 |........'.......| +000003c0 2d 88 f9 e9 00 00 00 00 00 00 d4 f7 90 e0 00 00 |-...............| +000003d0 00 00 00 00 95 1d 49 81 00 00 00 00 00 00 d8 4b |......I........K| +000003e0 ea d9 00 00 00 00 00 00 18 27 e5 17 00 00 00 00 |.........'......| +000003f0 00 00 65 56 f1 9e 00 00 00 00 00 00 93 8b dd 03 |..eV............| +00000400 00 00 00 00 00 00 b5 0d 15 f5 00 00 00 00 00 00 |................| +00000410 87 3e a7 78 00 00 00 00 00 00 2f 21 a4 a1 00 00 |.>.x....../!....| +00000420 00 00 00 00 62 ab 1f 65 00 00 00 00 00 00 11 fd |....b..e........| +00000430 a8 32 00 00 00 00 00 00 9a e0 53 7c 00 00 00 00 |.2........S|....| +00000440 00 00 c8 7a 93 b1 00 00 00 00 00 00 b0 cc e2 5c |...z...........\| +00000450 00 00 00 00 00 00 53 7d 54 2e 00 00 00 00 00 00 |......S}T.......| +00000460 87 8e b9 23 00 00 00 00 00 00 65 12 9e 9a 00 00 |...#......e.....| +00000470 00 00 00 00 27 87 d0 24 00 00 00 00 00 00 04 67 |....'..$.......g| +00000480 82 05 00 00 00 00 00 00 75 27 eb c1 00 00 00 00 |........u'......| +00000490 00 00 fb 36 41 0f 00 00 00 00 00 00 c1 66 f1 47 |...6A........f.G| +000004a0 00 00 00 00 00 00 ac c7 8e d4 00 00 00 00 00 00 |................| +000004b0 32 27 79 7c 00 00 00 00 00 00 08 9b c7 11 00 00 |2'y|............| +000004c0 00 00 00 00 bb 5d 67 24 00 00 00 00 00 00 d9 e7 |.....]g$........| +000004d0 a9 0b 00 00 00 00 00 00 cf 9d 23 c6 00 00 00 00 |..........#.....| +000004e0 00 00 9b bb c6 a2 00 00 00 00 00 00 76 53 a0 87 |............vS..| +000004f0 00 00 00 00 00 00 f5 ca 1b 43 00 00 00 00 00 00 |.........C......| BRAM data (bank 1): 80 x 128 @ 0x00000080; 10240 bits = 1280 bytes try 1: -00000000 41 18 4c 15 00 00 00 00 00 00 41 14 93 0a 00 00 |A.L.......A.....| -00000010 00 00 00 00 b6 d8 fc 72 00 00 00 00 00 00 5c 75 |.......r......\u| -00000020 64 44 00 00 00 00 00 00 ba 56 93 35 00 00 00 00 |dD.......V.5....| -00000030 00 00 2a 31 ef 40 00 00 00 00 00 00 df c2 86 91 |..*1.@..........| -00000040 00 00 00 00 00 00 85 24 1d d0 00 00 00 00 00 00 |.......$........| -00000050 ae e7 f5 2b 00 00 00 00 00 00 99 0b cd 3a 00 00 |...+.........:..| -00000060 00 00 00 00 ed 94 a1 a4 00 00 00 00 00 00 b2 50 |...............P| -00000070 07 77 00 00 00 00 00 00 8d f1 70 c1 00 00 00 00 |.w........p.....| -00000080 00 00 7b a4 7e 9a 00 00 00 00 00 00 a5 9a a0 30 |..{.~..........0| -00000090 00 00 00 00 00 00 ff 8d 42 fc 00 00 00 00 00 00 |........B.......| -000000a0 b0 07 c0 ae 00 00 00 00 00 00 17 dd f0 c4 00 00 |................| -000000b0 00 00 00 00 52 86 d0 4e 00 00 00 00 00 00 af 51 |....R..N.......Q| -000000c0 e4 9a 00 00 00 00 00 00 5d 6b 2b 1e 00 00 00 00 |........]k+.....| -000000d0 00 00 36 14 93 d7 00 00 00 00 00 00 88 f0 75 57 |..6...........uW| -000000e0 00 00 00 00 00 00 44 90 5a da 00 00 00 00 00 00 |......D.Z.......| -000000f0 40 f9 09 b4 00 00 00 00 00 00 ef 07 70 1e 00 00 |@...........p...| -00000100 00 00 00 00 5e 2c 29 39 00 00 00 00 00 00 e0 4b |....^,)9.......K| -00000110 a8 dc 00 00 00 00 00 00 3f 0a cd f5 00 00 00 00 |........?.......| -00000120 00 00 9b f2 16 c2 00 00 00 00 00 00 e1 72 19 90 |.............r..| -00000130 00 00 00 00 00 00 fd f1 a7 eb 00 00 00 00 00 00 |................| -00000140 de a3 58 a6 00 00 00 00 00 00 ef c0 36 a6 00 00 |..X.........6...| -00000150 00 00 00 00 1c d1 61 30 00 00 00 00 00 00 af 79 |......a0.......y| -00000160 24 4a 00 00 00 00 00 00 be 11 35 47 00 00 00 00 |$J........5G....| -00000170 00 00 72 f3 00 f1 00 00 00 00 00 00 ec 5b 17 85 |..r..........[..| -00000180 00 00 00 00 00 00 83 4f dc 89 00 00 00 00 00 00 |.......O........| -00000190 18 d6 72 63 00 00 00 00 00 00 ff 57 ab b4 00 00 |..rc.......W....| -000001a0 00 00 00 00 6d 7a fc 4b 00 00 00 00 00 00 ea b0 |....mz.K........| -000001b0 e8 b6 00 00 00 00 00 00 ed d3 fc 23 00 00 00 00 |...........#....| -000001c0 00 00 6d 48 fe e0 00 00 00 00 00 00 ab 9c 78 4f |..mH..........xO| -000001d0 00 00 00 00 00 00 c7 15 28 72 00 00 00 00 00 00 |........(r......| -000001e0 21 b5 58 2b 00 00 00 00 00 00 8e 01 ef 03 00 00 |!.X+............| -000001f0 00 00 00 00 a9 b2 ab 34 00 00 00 00 00 00 c3 a8 |.......4........| -00000200 6e 35 00 00 00 00 00 00 91 4f cb b7 00 00 00 00 |n5.......O......| -00000210 00 00 28 46 ea d3 00 00 00 00 00 00 bf a1 3e db |..(F..........>.| -00000220 00 00 00 00 00 00 a6 d3 8d be 00 00 00 00 00 00 |................| -00000230 a3 b8 34 8d 00 00 00 00 00 00 1d 38 07 1a 00 00 |..4........8....| -00000240 00 00 00 00 2c 14 44 73 00 00 00 00 00 00 2a d4 |....,.Ds......*.| -00000250 03 3c 00 00 00 00 00 00 45 cf 19 1b 00 00 00 00 |.<......E.......| -00000260 00 00 d4 09 fc e9 00 00 00 00 00 00 87 46 57 c3 |.............FW.| -00000270 00 00 00 00 00 00 04 fc d3 8f 00 00 00 00 00 00 |................| -00000280 ee 2b 02 06 00 00 00 00 00 00 89 85 17 fa 00 00 |.+..............| -00000290 00 00 00 00 58 7b d4 83 00 00 00 00 00 00 9d 51 |....X{.........Q| -000002a0 3c 5c 00 00 00 00 00 00 24 ae 22 e0 00 00 00 00 |<\......$.".....| -000002b0 00 00 f0 69 ee 0e 00 00 00 00 00 00 97 d9 5a 9a |...i..........Z.| -000002c0 00 00 00 00 00 00 6f 13 3d f2 00 00 00 00 00 00 |......o.=.......| -000002d0 01 1d 2b 50 00 00 00 00 00 00 48 60 90 04 00 00 |..+P......H`....| -000002e0 00 00 00 00 dc 19 28 d0 00 00 00 00 00 00 7b 5d |......(.......{]| -000002f0 44 90 00 00 00 00 00 00 85 32 aa 00 00 00 00 00 |D........2......| -00000300 00 00 70 36 de 86 00 00 00 00 00 00 13 1f 3e f7 |..p6..........>.| -00000310 00 00 00 00 00 00 33 6c 97 a1 00 00 00 00 00 00 |......3l........| -00000320 ea 47 6e 9b 00 00 00 00 00 00 51 40 89 5c 00 00 |.Gn.......Q@.\..| -00000330 00 00 00 00 57 58 93 86 00 00 00 00 00 00 2a a8 |....WX........*.| -00000340 c0 12 00 00 00 00 00 00 cf 19 ae 61 00 00 00 00 |...........a....| -00000350 00 00 58 f5 ea 75 00 00 00 00 00 00 e5 d8 8b b8 |..X..u..........| -00000360 00 00 00 00 00 00 7e c8 98 20 00 00 00 00 00 00 |......~.. ......| -00000370 dd 93 a7 1d 00 00 00 00 00 00 fa ad ee 63 00 00 |.............c..| -00000380 00 00 00 00 b9 03 e2 c1 00 00 00 00 00 00 2c e3 |..............,.| -00000390 87 65 00 00 00 00 00 00 9f 54 d9 41 00 00 00 00 |.e.......T.A....| -000003a0 00 00 03 f0 94 7b 00 00 00 00 00 00 b9 50 5b ec |.....{.......P[.| -000003b0 00 00 00 00 00 00 6f c1 42 b1 00 00 00 00 00 00 |......o.B.......| -000003c0 32 3c f1 f7 00 00 00 00 00 00 84 e1 5c 4b 00 00 |2<..........\K..| -000003d0 00 00 00 00 ba 90 a5 4b 00 00 00 00 00 00 dd 6e |.......K.......n| -000003e0 94 54 00 00 00 00 00 00 65 c9 c3 b2 00 00 00 00 |.T......e.......| -000003f0 00 00 9a 5a 83 3d 00 00 00 00 00 00 94 b4 10 54 |...Z.=.........T| -00000400 00 00 00 00 00 00 ba cf f8 c6 00 00 00 00 00 00 |................| -00000410 f0 8f b6 85 00 00 00 00 00 00 1c c1 36 af 00 00 |............6...| -00000420 00 00 00 00 61 50 9e 0d 00 00 00 00 00 00 92 cf |....aP..........| -00000430 8f 4a 00 00 00 00 00 00 99 20 c3 1b 00 00 00 00 |.J....... ......| -00000440 00 00 22 67 f3 cd 00 00 00 00 00 00 e4 96 61 3d |.."g..........a=| -00000450 00 00 00 00 00 00 af b4 34 e5 00 00 00 00 00 00 |........4.......| -00000460 5a 75 a5 66 00 00 00 00 00 00 80 a2 12 63 00 00 |Zu.f.........c..| -00000470 00 00 00 00 dc f9 9c 82 00 00 00 00 00 00 70 2f |..............p/| -00000480 83 94 00 00 00 00 00 00 fb 0d ac f1 00 00 00 00 |................| -00000490 00 00 10 f7 93 a5 00 00 00 00 00 00 23 ac 83 26 |............#..&| -000004a0 00 00 00 00 00 00 3a f8 d8 b1 00 00 00 00 00 00 |......:.........| -000004b0 d8 1d 19 8f 00 00 00 00 00 00 c9 06 89 9a 00 00 |................| -000004c0 00 00 00 00 e0 f9 b1 a7 00 00 00 00 00 00 e3 df |................| -000004d0 ed b0 00 00 00 00 00 00 d1 40 48 5c 00 00 00 00 |.........@H\....| -000004e0 00 00 d7 2d 13 1d 00 00 00 00 00 00 f5 88 da 6d |...-...........m| -000004f0 00 00 00 00 00 00 70 06 9b 31 00 00 00 00 00 00 |......p..1......| +00000000 c4 d4 21 71 00 00 00 00 00 00 13 d6 fb 1e 00 00 |..!q............| +00000010 00 00 00 00 d3 4c c4 c3 00 00 00 00 00 00 9c b5 |.....L..........| +00000020 24 a7 00 00 00 00 00 00 b4 f4 c9 fb 00 00 00 00 |$...............| +00000030 00 00 96 c1 75 4c 00 00 00 00 00 00 c2 cf cb 38 |....uL.........8| +00000040 00 00 00 00 00 00 be 9c 6a 9a 00 00 00 00 00 00 |........j.......| +00000050 c2 8a 99 2c 00 00 00 00 00 00 16 80 87 87 00 00 |...,............| +00000060 00 00 00 00 cb 16 e4 60 00 00 00 00 00 00 9a 62 |.......`.......b| +00000070 0a 5e 00 00 00 00 00 00 e7 b1 9c e4 00 00 00 00 |.^..............| +00000080 00 00 83 95 cc 63 00 00 00 00 00 00 02 40 3b 45 |.....c.......@;E| +00000090 00 00 00 00 00 00 c4 d8 ab f5 00 00 00 00 00 00 |................| +000000a0 47 5c fe 43 00 00 00 00 00 00 c8 69 1a 58 00 00 |G\.C.......i.X..| +000000b0 00 00 00 00 48 35 c9 4f 00 00 00 00 00 00 f4 4f |....H5.O.......O| +000000c0 8a cf 00 00 00 00 00 00 69 76 34 b0 00 00 00 00 |........iv4.....| +000000d0 00 00 56 9b aa 67 00 00 00 00 00 00 e5 26 22 c2 |..V..g.......&".| +000000e0 00 00 00 00 00 00 c7 fe d3 05 00 00 00 00 00 00 |................| +000000f0 ca 3d 30 7b 00 00 00 00 00 00 84 72 a1 e9 00 00 |.=0{.......r....| +00000100 00 00 00 00 99 34 21 a2 00 00 00 00 00 00 5f 88 |.....4!......._.| +00000110 47 96 00 00 00 00 00 00 f6 cc c1 39 00 00 00 00 |G..........9....| +00000120 00 00 84 1a cd 3f 00 00 00 00 00 00 80 05 63 1f |.....?........c.| +00000130 00 00 00 00 00 00 b9 b7 d7 9b 00 00 00 00 00 00 |................| +00000140 c5 98 15 b2 00 00 00 00 00 00 e8 7c 80 02 00 00 |...........|....| +00000150 00 00 00 00 e7 3e 48 9a 00 00 00 00 00 00 fb de |.....>H.........| +00000160 39 eb 00 00 00 00 00 00 56 9a 19 55 00 00 00 00 |9.......V..U....| +00000170 00 00 e2 a0 23 c2 00 00 00 00 00 00 18 7d 85 6a |....#........}.j| +00000180 00 00 00 00 00 00 9b 56 9e 55 00 00 00 00 00 00 |.......V.U......| +00000190 e7 80 5c 90 00 00 00 00 00 00 c3 4f 06 e3 00 00 |..\........O....| +000001a0 00 00 00 00 24 89 ed 7f 00 00 00 00 00 00 3d b8 |....$.........=.| +000001b0 6b 74 00 00 00 00 00 00 78 73 1d 0f 00 00 00 00 |kt......xs......| +000001c0 00 00 7b 31 78 fc 00 00 00 00 00 00 4e 6e 2d 01 |..{1x.......Nn-.| +000001d0 00 00 00 00 00 00 3d ff 9c ae 00 00 00 00 00 00 |......=.........| +000001e0 2b 26 1b 52 00 00 00 00 00 00 9a df 5d e5 00 00 |+&.R........]...| +000001f0 00 00 00 00 c1 44 a4 2e 00 00 00 00 00 00 f8 20 |.....D......... | +00000200 8d b8 00 00 00 00 00 00 30 48 1b 9b 00 00 00 00 |........0H......| +00000210 00 00 91 06 e5 af 00 00 00 00 00 00 ec 06 a7 09 |................| +00000220 00 00 00 00 00 00 16 77 69 42 00 00 00 00 00 00 |.......wiB......| +00000230 17 bd ea 8a 00 00 00 00 00 00 51 f4 0c e9 00 00 |..........Q.....| +00000240 00 00 00 00 e9 0d 95 47 00 00 00 00 00 00 a8 5f |.......G......._| +00000250 35 fd 00 00 00 00 00 00 df 95 33 d8 00 00 00 00 |5.........3.....| +00000260 00 00 14 82 ca 58 00 00 00 00 00 00 98 f2 11 01 |.....X..........| +00000270 00 00 00 00 00 00 7c ee ad 79 00 00 00 00 00 00 |......|..y......| +00000280 12 ec 51 95 00 00 00 00 00 00 82 07 64 5e 00 00 |..Q.........d^..| +00000290 00 00 00 00 ca 83 3b ec 00 00 00 00 00 00 1d 7b |......;........{| +000002a0 8c f3 00 00 00 00 00 00 62 66 cf 88 00 00 00 00 |........bf......| +000002b0 00 00 db 80 1f ec 00 00 00 00 00 00 3a 3b 59 3c |............:;Y<| +000002c0 00 00 00 00 00 00 43 1c 2a 5f 00 00 00 00 00 00 |......C.*_......| +000002d0 38 04 cb 8b 00 00 00 00 00 00 ae 85 3f f0 00 00 |8...........?...| +000002e0 00 00 00 00 01 dd 6d c0 00 00 00 00 00 00 4a 4f |......m.......JO| +000002f0 80 c4 00 00 00 00 00 00 0c 72 47 4d 00 00 00 00 |.........rGM....| +00000300 00 00 d8 6b 20 26 00 00 00 00 00 00 1e cb 0d f8 |...k &..........| +00000310 00 00 00 00 00 00 70 31 fd 52 00 00 00 00 00 00 |......p1.R......| +00000320 64 03 5f 8f 00 00 00 00 00 00 8b 85 46 bd 00 00 |d._.........F...| +00000330 00 00 00 00 54 92 16 9f 00 00 00 00 00 00 a3 c0 |....T...........| +00000340 d4 77 00 00 00 00 00 00 5e 81 cb 2a 00 00 00 00 |.w......^..*....| +00000350 00 00 a6 28 f7 18 00 00 00 00 00 00 21 56 c7 97 |...(........!V..| +00000360 00 00 00 00 00 00 3b 77 a0 c0 00 00 00 00 00 00 |......;w........| +00000370 af 44 18 7c 00 00 00 00 00 00 6d e8 6e fb 00 00 |.D.|......m.n...| +00000380 00 00 00 00 be c8 60 42 00 00 00 00 00 00 68 25 |......`B......h%| +00000390 6f d6 00 00 00 00 00 00 f4 c8 e8 b4 00 00 00 00 |o...............| +000003a0 00 00 f9 47 13 38 00 00 00 00 00 00 27 65 6d c0 |...G.8......'em.| +000003b0 00 00 00 00 00 00 45 02 32 c7 00 00 00 00 00 00 |......E.2.......| +000003c0 9b 3d f8 af 00 00 00 00 00 00 ab dd 03 c6 00 00 |.=..............| +000003d0 00 00 00 00 f5 c3 bc ce 00 00 00 00 00 00 c1 6b |...............k| +000003e0 49 76 00 00 00 00 00 00 72 e8 e2 2c 00 00 00 00 |Iv......r..,....| +000003f0 00 00 11 d0 b9 45 00 00 00 00 00 00 6c 15 ae d0 |.....E......l...| +00000400 00 00 00 00 00 00 31 95 b4 95 00 00 00 00 00 00 |......1.........| +00000410 72 5e 45 48 00 00 00 00 00 00 73 01 89 78 00 00 |r^EH......s..x..| +00000420 00 00 00 00 ed 81 de d5 00 00 00 00 00 00 5e d0 |..............^.| +00000430 44 85 00 00 00 00 00 00 0b cc 9d 4f 00 00 00 00 |D..........O....| +00000440 00 00 1d 17 63 ac 00 00 00 00 00 00 fb 8b 1c c4 |....c...........| +00000450 00 00 00 00 00 00 a8 91 89 50 00 00 00 00 00 00 |.........P......| +00000460 b7 05 29 14 00 00 00 00 00 00 4b c6 13 ec 00 00 |..).......K.....| +00000470 00 00 00 00 11 aa 9b 33 00 00 00 00 00 00 3d 00 |.......3......=.| +00000480 02 b3 00 00 00 00 00 00 30 a5 36 ab 00 00 00 00 |........0.6.....| +00000490 00 00 1d f9 2e 8a 00 00 00 00 00 00 20 9a 02 a2 |............ ...| +000004a0 00 00 00 00 00 00 43 45 46 96 00 00 00 00 00 00 |......CEF.......| +000004b0 42 e4 95 50 00 00 00 00 00 00 84 6d b8 5c 00 00 |B..P.......m.\..| +000004c0 00 00 00 00 fb 30 cb c5 00 00 00 00 00 00 b1 a7 |.....0..........| +000004d0 41 9f 00 00 00 00 00 00 a2 5b d1 99 00 00 00 00 |A........[......| +000004e0 00 00 01 2a e8 57 00 00 00 00 00 00 73 18 52 dc |...*.W......s.R.| +000004f0 00 00 00 00 00 00 8e e7 81 17 00 00 00 00 00 00 |................| BRAM data (bank 2): 160 x 128 @ 0x00000000; 20480 bits = 2560 bytes try 1: -00000000 31 ca 5d d1 22 8c af db c4 1e 00 00 00 00 00 00 |1.]."...........| -00000010 00 00 00 00 a9 cf 36 02 45 e0 c3 18 8c 56 00 00 |......6.E....V..| -00000020 00 00 00 00 00 00 00 00 8e 81 29 45 95 03 df 9e |..........)E....| -00000030 62 1e 00 00 00 00 00 00 00 00 00 00 77 29 52 a3 |b...........w)R.| -00000040 bf 53 07 9a a5 44 00 00 00 00 00 00 00 00 00 00 |.S...D..........| -00000050 5e df 3e 0f 8f 21 8b b2 f9 31 00 00 00 00 00 00 |^.>..!...1......| -00000060 00 00 00 00 0d 47 19 88 2e 67 23 7f 7e 14 00 00 |.....G...g#.~...| -00000070 00 00 00 00 00 00 00 00 06 f8 9e 9c 5b a6 1b c6 |............[...| -00000080 25 cb 00 00 00 00 00 00 00 00 00 00 e6 b9 92 a7 |%...............| -00000090 fc 90 8a 42 6d 0f 00 00 00 00 00 00 00 00 00 00 |...Bm...........| -000000a0 53 39 f2 77 70 b2 0e 4c 10 84 00 00 00 00 00 00 |S9.wp..L........| -000000b0 00 00 00 00 c7 1e 96 95 5e 92 9c 4e 0b fe 00 00 |........^..N....| -000000c0 00 00 00 00 00 00 00 00 d5 21 a8 c5 96 53 de 1e |.........!...S..| -000000d0 66 12 00 00 00 00 00 00 00 00 00 00 ef 22 0f ec |f............"..| -000000e0 41 4f 98 b8 19 ef 00 00 00 00 00 00 00 00 00 00 |AO..............| -000000f0 fb 3a 4e 29 1f b8 32 1e 32 9c 00 00 00 00 00 00 |.:N)..2.2.......| -00000100 00 00 00 00 2c 3a 78 2f 58 2c 96 a3 9d d1 00 00 |....,:x/X,......| -00000110 00 00 00 00 00 00 00 00 32 f6 af 6c 6a 51 ab 5b |........2..ljQ.[| -00000120 6a e6 00 00 00 00 00 00 00 00 00 00 2a 53 2c 09 |j...........*S,.| -00000130 38 17 b8 5b d3 e8 00 00 00 00 00 00 00 00 00 00 |8..[............| -00000140 dd 2a 20 02 52 6c df 87 f6 b5 00 00 00 00 00 00 |.* .Rl..........| -00000150 00 00 00 00 f7 4f 6e 5a b0 b7 98 67 37 90 00 00 |.....OnZ...g7...| -00000160 00 00 00 00 00 00 00 00 34 45 f8 3e 46 5e db aa |........4E.>F^..| -00000170 9f 75 00 00 00 00 00 00 00 00 00 00 67 51 b4 69 |.u..........gQ.i| -00000180 7d 7b 58 49 78 75 00 00 00 00 00 00 00 00 00 00 |}{XIxu..........| -00000190 4e 81 45 9e 41 53 02 39 9d 04 00 00 00 00 00 00 |N.E.AS.9........| -000001a0 00 00 00 00 41 1c fa 26 70 c7 f5 9e d3 36 00 00 |....A..&p....6..| -000001b0 00 00 00 00 00 00 00 00 68 18 a2 cc 2a 91 fd ac |........h...*...| -000001c0 c4 6c 00 00 00 00 00 00 00 00 00 00 e5 de 1e 3a |.l.............:| -000001d0 cf 5f 2b 8a 57 21 00 00 00 00 00 00 00 00 00 00 |._+.W!..........| -000001e0 5c 6d 77 21 69 28 1f 0f e5 7f 00 00 00 00 00 00 |\mw!i(..........| -000001f0 00 00 00 00 b9 4c 6d 29 72 12 e2 26 ab 50 00 00 |.....Lm)r..&.P..| -00000200 00 00 00 00 00 00 00 00 41 65 04 30 51 49 ca f4 |........Ae.0QI..| -00000210 70 51 00 00 00 00 00 00 00 00 00 00 2a c7 fb 74 |pQ..........*..t| -00000220 d9 cb b9 26 05 98 00 00 00 00 00 00 00 00 00 00 |...&............| -00000230 e2 19 d0 2e 44 6e 10 dc 86 a5 00 00 00 00 00 00 |....Dn..........| -00000240 00 00 00 00 05 a7 67 d3 32 ab c4 8c b0 33 00 00 |......g.2....3..| -00000250 00 00 00 00 00 00 00 00 71 91 2e dd ca 5f 7f 32 |........q...._.2| -00000260 0f ad 00 00 00 00 00 00 00 00 00 00 df d3 8b fa |................| -00000270 0e 44 28 73 88 cf 00 00 00 00 00 00 00 00 00 00 |.D(s............| -00000280 6c 19 94 32 02 81 98 66 3d a2 00 00 00 00 00 00 |l..2...f=.......| -00000290 00 00 00 00 7c 7d 23 0f 01 49 b9 db 89 e8 00 00 |....|}#..I......| -000002a0 00 00 00 00 00 00 00 00 fa 8d 0c cb dc ca 5c 4b |..............\K| -000002b0 17 70 00 00 00 00 00 00 00 00 00 00 bb 9d 54 a3 |.p............T.| -000002c0 76 93 49 f1 3a c3 00 00 00 00 00 00 00 00 00 00 |v.I.:...........| -000002d0 81 e1 da cd c0 ee df ba 3e 87 00 00 00 00 00 00 |........>.......| -000002e0 00 00 00 00 95 d7 46 bc 37 b5 c9 46 41 7d 00 00 |......F.7..FA}..| -000002f0 00 00 00 00 00 00 00 00 c8 12 9d 2c 9c 71 93 8d |...........,.q..| -00000300 55 30 00 00 00 00 00 00 00 00 00 00 8b b7 de d1 |U0..............| -00000310 61 13 1a a2 f8 ee 00 00 00 00 00 00 00 00 00 00 |a...............| -00000320 ef 9c 7a cb e2 83 14 dc 50 57 00 00 00 00 00 00 |..z.....PW......| -00000330 00 00 00 00 52 0c 77 4a 1a 61 1a 8d 2c b7 00 00 |....R.wJ.a..,...| -00000340 00 00 00 00 00 00 00 00 96 8a 52 95 0e 71 6e 72 |..........R..qnr| -00000350 ee 06 00 00 00 00 00 00 00 00 00 00 a3 0c 07 87 |................| -00000360 f2 96 4d b4 69 14 00 00 00 00 00 00 00 00 00 00 |..M.i...........| -00000370 b3 64 1e 37 03 b7 af 0f 97 60 00 00 00 00 00 00 |.d.7.....`......| -00000380 00 00 00 00 6e 1f 9e 7a 0b ad 0d 99 a1 60 00 00 |....n..z.....`..| -00000390 00 00 00 00 00 00 00 00 59 2b 65 e2 b9 5b 8a 2c |........Y+e..[.,| -000003a0 0a a2 00 00 00 00 00 00 00 00 00 00 67 7c 35 0a |............g|5.| -000003b0 f1 18 43 5d 2f d5 00 00 00 00 00 00 00 00 00 00 |..C]/...........| -000003c0 e1 a2 53 0b ea bf 79 f8 f2 51 00 00 00 00 00 00 |..S...y..Q......| -000003d0 00 00 00 00 f5 d3 a5 84 d8 e6 29 59 f7 7c 00 00 |..........)Y.|..| -000003e0 00 00 00 00 00 00 00 00 ff c3 4f 83 02 84 4b e3 |..........O...K.| -000003f0 9f 6c 00 00 00 00 00 00 00 00 00 00 9a 92 08 f1 |.l..............| -00000400 21 52 e7 af 4e 44 00 00 00 00 00 00 00 00 00 00 |!R..ND..........| -00000410 01 c6 31 0a 05 97 be 54 01 4a 00 00 00 00 00 00 |..1....T.J......| -00000420 00 00 00 00 2f e2 77 fe a2 46 34 50 8e c1 00 00 |..../.w..F4P....| -00000430 00 00 00 00 00 00 00 00 ed a1 8e 1a d2 c8 30 37 |..............07| -00000440 1d a5 00 00 00 00 00 00 00 00 00 00 e1 36 ae f7 |.............6..| -00000450 0d 80 0f d6 44 02 00 00 00 00 00 00 00 00 00 00 |....D...........| -00000460 a8 a8 42 c9 5f f0 93 7d 2f fc 00 00 00 00 00 00 |..B._..}/.......| -00000470 00 00 00 00 01 ef ce 3b 78 e1 d4 29 2a c2 00 00 |.......;x..)*...| -00000480 00 00 00 00 00 00 00 00 90 d0 6b e9 b6 f7 93 ee |..........k.....| -00000490 c8 49 00 00 00 00 00 00 00 00 00 00 d4 65 b1 e5 |.I...........e..| -000004a0 1b 67 b2 13 2f ae 00 00 00 00 00 00 00 00 00 00 |.g../...........| -000004b0 e4 86 54 98 98 71 2f e6 f8 ae 00 00 00 00 00 00 |..T..q/.........| -000004c0 00 00 00 00 d5 cc 73 d9 8f ee 73 20 bb f2 00 00 |......s...s ....| -000004d0 00 00 00 00 00 00 00 00 8a cb 2e 26 a6 62 bc f2 |...........&.b..| -000004e0 a8 36 00 00 00 00 00 00 00 00 00 00 9e 0c 2f 48 |.6............/H| -000004f0 28 99 3c 4a 07 4b 00 00 00 00 00 00 00 00 00 00 |(.p`<;"...| -00000520 00 00 00 00 00 00 00 00 8f d0 d6 4d 22 fb 65 15 |...........M".e.| -00000530 f9 47 00 00 00 00 00 00 00 00 00 00 9e 6e 2c 50 |.G...........n,P| -00000540 31 70 fa 49 31 1c 00 00 00 00 00 00 00 00 00 00 |1p.I1...........| -00000550 c2 70 21 62 e3 55 10 13 6c 9f 00 00 00 00 00 00 |.p!b.U..l.......| -00000560 00 00 00 00 52 b5 55 08 37 31 5e 0b 35 2f 00 00 |....R.U.71^.5/..| -00000570 00 00 00 00 00 00 00 00 47 2b 1d 37 70 cf 46 13 |........G+.7p.F.| -00000580 0c 9a 00 00 00 00 00 00 00 00 00 00 d8 68 a5 35 |.............h.5| -00000590 cf 9f 9c d8 d0 39 00 00 00 00 00 00 00 00 00 00 |.....9..........| -000005a0 3a 93 7e 8a 12 e9 81 e6 f8 de 00 00 00 00 00 00 |:.~.............| -000005b0 00 00 00 00 66 98 c8 72 ae e1 32 cf 69 d9 00 00 |....f..r..2.i...| -000005c0 00 00 00 00 00 00 00 00 c6 0d 55 cb 3e 3e c7 4c |..........U.>>.L| -000005d0 45 1d 00 00 00 00 00 00 00 00 00 00 e2 a6 04 b2 |E...............| -000005e0 23 50 7b d5 3c 4a 00 00 00 00 00 00 00 00 00 00 |#P{.......| -000006f0 00 00 00 00 0c bc 6c d5 23 f8 1d b1 db 28 00 00 |......l.#....(..| -00000700 00 00 00 00 00 00 00 00 04 b8 84 ae aa f2 ed e2 |................| -00000710 65 ca 00 00 00 00 00 00 00 00 00 00 67 06 55 f4 |e...........g.U.| -00000720 aa 1f 3b 31 e5 08 00 00 00 00 00 00 00 00 00 00 |..;1............| -00000730 3f 91 ff 13 c2 61 55 9e d2 4e 00 00 00 00 00 00 |?....aU..N......| -00000740 00 00 00 00 51 d1 e6 be 9c 07 78 27 f3 0c 00 00 |....Q.....x'....| -00000750 00 00 00 00 00 00 00 00 bc 36 5f 30 f0 80 22 0a |.........6_0..".| -00000760 45 20 00 00 00 00 00 00 00 00 00 00 fe 63 4b 65 |E ...........cKe| -00000770 26 ab 87 6f fe 97 00 00 00 00 00 00 00 00 00 00 |&..o............| -00000780 f4 b0 52 87 47 a1 2c ac 2f 60 00 00 00 00 00 00 |..R.G.,./`......| -00000790 00 00 00 00 8e 5a 38 74 cb 96 15 98 38 d1 00 00 |.....Z8t....8...| -000007a0 00 00 00 00 00 00 00 00 2d 8b 02 1e e0 81 70 47 |........-.....pG| -000007b0 27 d1 00 00 00 00 00 00 00 00 00 00 7d 26 6c fb |'...........}&l.| -000007c0 4d e0 f5 85 0b 29 00 00 00 00 00 00 00 00 00 00 |M....)..........| -000007d0 44 c6 58 e9 60 e1 e6 3c 5d 66 00 00 00 00 00 00 |D.X.`..<]f......| -000007e0 00 00 00 00 78 83 a1 92 2a 7d 6d 49 af 41 00 00 |....x...*}mI.A..| -000007f0 00 00 00 00 00 00 00 00 f0 cd 08 bd d1 f5 27 37 |..............'7| -00000800 92 9e 00 00 00 00 00 00 00 00 00 00 d2 d6 9e 9b |................| -00000810 a0 8a 36 1d 73 fb 00 00 00 00 00 00 00 00 00 00 |..6.s...........| -00000820 3d 76 5b 47 c3 d6 ca a1 e0 47 00 00 00 00 00 00 |=v[G.....G......| -00000830 00 00 00 00 35 16 7b 1e 6f 50 0c 7c b1 3e 00 00 |....5.{.oP.|.>..| -00000840 00 00 00 00 00 00 00 00 41 70 2b 1b e9 80 8d 70 |........Ap+....p| -00000850 3b 1e 00 00 00 00 00 00 00 00 00 00 f5 64 7a 5a |;............dzZ| -00000860 2d e1 89 5d 56 2b 00 00 00 00 00 00 00 00 00 00 |-..]V+..........| -00000870 3c b9 3d 1d f7 03 e5 e8 aa 1a 00 00 00 00 00 00 |<.=.............| -00000880 00 00 00 00 bd 8b fa 79 75 24 99 7e 55 41 00 00 |.......yu$.~UA..| -00000890 00 00 00 00 00 00 00 00 71 ae 0a fa 33 e0 14 7b |........q...3..{| -000008a0 bb bd 00 00 00 00 00 00 00 00 00 00 bd 03 29 f5 |..............).| -000008b0 c7 9a c3 b0 c5 52 00 00 00 00 00 00 00 00 00 00 |.....R..........| -000008c0 07 3b 3d f1 a9 55 b6 36 36 ae 00 00 00 00 00 00 |.;=..U.66.......| -000008d0 00 00 00 00 94 83 b1 83 4a 3a 60 77 4f 12 00 00 |........J:`wO...| -000008e0 00 00 00 00 00 00 00 00 d6 1b 1d ff 59 df 00 82 |............Y...| -000008f0 01 3f 00 00 00 00 00 00 00 00 00 00 3a d9 97 e8 |.?..........:...| -00000900 f0 b4 ff 1f 04 e3 00 00 00 00 00 00 00 00 00 00 |................| -00000910 db 95 a8 4f a6 16 9f 7b ac 5e 00 00 00 00 00 00 |...O...{.^......| -00000920 00 00 00 00 fd 92 69 d0 72 03 d1 ad 58 59 00 00 |......i.r...XY..| -00000930 00 00 00 00 00 00 00 00 15 35 d1 75 19 61 1a 72 |.........5.u.a.r| -00000940 9c f5 00 00 00 00 00 00 00 00 00 00 96 e8 50 74 |..............Pt| -00000950 6c b1 2e f9 33 9f 00 00 00 00 00 00 00 00 00 00 |l...3...........| -00000960 f3 64 fc 7f ca f2 50 6e 45 a7 00 00 00 00 00 00 |.d....PnE.......| -00000970 00 00 00 00 87 81 01 69 f7 20 2b ff 78 81 00 00 |.......i. +.x...| -00000980 00 00 00 00 00 00 00 00 bc 31 4c e3 d0 17 7c ef |.........1L...|.| -00000990 37 c1 00 00 00 00 00 00 00 00 00 00 b4 a0 4c 9d |7.............L.| -000009a0 05 70 fe b2 17 72 00 00 00 00 00 00 00 00 00 00 |.p...r..........| -000009b0 63 76 e5 6d 97 9c 14 cb 49 82 00 00 00 00 00 00 |cv.m....I.......| -000009c0 00 00 00 00 7c 24 42 bf 50 62 07 1b e5 75 00 00 |....|$B.Pb...u..| -000009d0 00 00 00 00 00 00 00 00 33 aa c6 3b f9 0b 97 09 |........3..;....| -000009e0 fb 87 00 00 00 00 00 00 00 00 00 00 cb 01 37 fa |..............7.| -000009f0 85 86 21 2d 38 2e 00 00 00 00 00 00 00 00 00 00 |..!-8...........| +00000000 00 00 00 00 00 00 00 00 fa 84 e2 8f 99 7b 8f 14 |.............{..| +00000010 0c 63 84 a3 00 00 00 00 00 00 00 00 ed 19 c3 d8 |.c..............| +00000020 0f 89 a7 25 12 c3 19 9c 00 00 00 00 00 00 00 00 |...%............| +00000030 0b 9b 10 06 e3 33 bb 79 f8 cc ca 76 00 00 00 00 |.....3.y...v....| +00000040 00 00 00 00 04 4a 3c 4e 07 bd e0 99 aa 2f 8a 06 |.....J..q.........]..| +00000160 c5 75 81 77 fb 9f 35 a1 00 00 00 00 00 00 00 00 |.u.w..5.........| +00000170 07 94 ab 05 e2 ca 38 2d 59 fd 45 62 00 00 00 00 |......8-Y.Eb....| +00000180 00 00 00 00 84 a4 d9 66 64 62 ff 72 9a 6e d6 50 |.......fdb.r.n.P| +00000190 00 00 00 00 00 00 00 00 6d b9 e4 bd 64 07 bf ee |........m...d...| +000001a0 43 55 58 51 00 00 00 00 00 00 00 00 44 b7 5a 10 |CUXQ........D.Z.| +000001b0 18 04 3c 4e 54 19 66 4b 00 00 00 00 00 00 00 00 |..| +00000280 00 00 00 00 00 00 00 00 40 9e 7c 9b df 83 64 fa |........@.|...d.| +00000290 f7 0e 79 46 00 00 00 00 00 00 00 00 6c 0f 68 01 |..yF........l.h.| +000002a0 3c 98 40 a1 91 24 da 65 00 00 00 00 00 00 00 00 |<.@..$.e........| +000002b0 12 82 ca ad 9d a1 75 b9 62 ca 41 d3 00 00 00 00 |......u.b.A.....| +000002c0 00 00 00 00 5c 74 69 0c 45 6a 0e 58 e9 67 92 e2 |....\ti.Ej.X.g..| +000002d0 00 00 00 00 00 00 00 00 55 01 21 16 bf e1 23 16 |........U.!...#.| +000002e0 91 86 6e 49 00 00 00 00 00 00 00 00 cc 85 65 70 |..nI..........ep| +000002f0 0f b7 7c a6 44 62 fe 5d 00 00 00 00 00 00 00 00 |..|.Db.]........| +00000300 7c e3 b2 57 09 b5 85 f9 9e a4 6b e2 00 00 00 00 ||..W......k.....| +00000310 00 00 00 00 85 c2 93 ad 7d c5 b2 0c 7e 13 bc 1b |........}...~...| +00000320 00 00 00 00 00 00 00 00 29 60 0c 7f 50 f3 0e e0 |........)`..P...| +00000330 56 f5 ab 95 00 00 00 00 00 00 00 00 a2 e2 a6 dd |V...............| +00000340 bc 2e 39 58 38 9e 40 d8 00 00 00 00 00 00 00 00 |..9X8.@.........| +00000350 b8 a8 4a cc b8 b7 ff 06 60 44 2e ba 00 00 00 00 |..J.....`D......| +00000360 00 00 00 00 f8 bf 24 7e 7c 48 51 d8 97 e1 37 b6 |......$~|HQ...7.| +00000370 00 00 00 00 00 00 00 00 6c 48 d9 42 b0 0e bc 85 |........lH.B....| +00000380 e5 7b f9 62 00 00 00 00 00 00 00 00 17 8b c3 b4 |.{.b............| +00000390 b6 d9 39 5f 19 01 10 f9 00 00 00 00 00 00 00 00 |..9_............| +000003a0 e1 04 dd 3b 07 cc 02 a7 26 70 ab 35 00 00 00 00 |...;....&p.5....| +000003b0 00 00 00 00 05 dd ec 5c a7 67 30 c3 00 48 f0 f2 |.......\.g0..H..| +000003c0 00 00 00 00 00 00 00 00 af 0b ef 0c 17 8f 18 7c |...............|| +000003d0 af 35 25 78 00 00 00 00 00 00 00 00 a4 d2 55 2f |.5%x..........U/| +000003e0 4d fe 77 ef 28 1b 66 fd 00 00 00 00 00 00 00 00 |M.w.(.f.........| +000003f0 98 12 e7 e4 57 bb 35 a7 c8 2b a0 3e 00 00 00 00 |....W.5..+.>....| +00000400 00 00 00 00 7a 92 96 16 57 bb 53 e7 b4 02 4c 12 |....z...W.S...L.| +00000410 00 00 00 00 00 00 00 00 14 50 9f da a5 41 17 8c |.........P...A..| +00000420 17 20 32 80 00 00 00 00 00 00 00 00 a8 f8 92 08 |. 2.............| +00000430 eb 5c 8a 3b e8 4b 84 6d 00 00 00 00 00 00 00 00 |.\.;.K.m........| +00000440 0e 61 5b ed e6 63 cd 3c b7 36 f3 01 00 00 00 00 |.a[..c.<.6......| +00000450 00 00 00 00 9f c0 d3 95 be 3f 1b bd 50 09 f9 00 |.........?..P...| +00000460 00 00 00 00 00 00 00 00 4e 2c a7 0b ab 19 50 ef |........N,....P.| +00000470 7a 16 02 a9 00 00 00 00 00 00 00 00 11 9f e8 9c |z...............| +00000480 64 d8 1c df 0d 4e c9 a2 00 00 00 00 00 00 00 00 |d....N..........| +00000490 f4 3d 5f 86 01 c3 ac 9d 0a 04 15 ca 00 00 00 00 |.=_.............| +000004a0 00 00 00 00 8f f5 fe 54 d4 56 17 e2 6d fc b0 b5 |.......T.V..m...| +000004b0 00 00 00 00 00 00 00 00 d4 b1 f6 1b 57 02 82 20 |............W.. | +000004c0 88 70 6e b9 00 00 00 00 00 00 00 00 a8 50 90 7c |.pn..........P.|| +000004d0 8c 82 ba c4 9b 32 3a a3 00 00 00 00 00 00 00 00 |.....2:.........| +000004e0 fa 1d 73 69 f7 9a 8e db fb 86 0e 03 00 00 00 00 |..si............| +000004f0 00 00 00 00 51 ba 64 37 27 7b a5 8f 70 34 be cc |....Q.d7'{..p4..| +00000500 00 00 00 00 00 00 00 00 a9 40 af ae ab 63 07 40 |.........@...c.@| +00000510 da 76 98 e9 00 00 00 00 00 00 00 00 1a b1 91 35 |.v.............5| +00000520 f5 38 91 da 81 f0 fc 1d 00 00 00 00 00 00 00 00 |.8..............| +00000530 96 97 7d d4 13 3e 79 b6 4a 82 8c 92 00 00 00 00 |..}..>y.J.......| +00000540 00 00 00 00 51 51 c0 b1 94 cd ce 73 45 67 cd 47 |....QQ.....sEg.G| +00000550 00 00 00 00 00 00 00 00 19 36 30 7f 6f 54 c6 9a |.........60.oT..| +00000560 29 e3 7c 80 00 00 00 00 00 00 00 00 30 2d 76 84 |).|.........0-v.| +00000570 55 2f 8c 8c c5 3b 8d 8b 00 00 00 00 00 00 00 00 |U/...;..........| +00000580 e2 e7 3e 7e 83 e4 e0 bd f1 19 82 d1 00 00 00 00 |..>~............| +00000590 00 00 00 00 21 83 98 ed 96 2d 58 35 b2 49 67 e4 |....!....-X5.Ig.| +000005a0 00 00 00 00 00 00 00 00 8d 1f fb 35 03 ad d0 59 |...........5...Y| +000005b0 c7 c2 38 31 00 00 00 00 00 00 00 00 40 a1 71 bb |..81........@.q.| +000005c0 38 c9 cf 4f a9 d9 23 04 00 00 00 00 00 00 00 00 |8..O..#.........| +000005d0 c5 78 a8 1f 45 ab 77 d4 7b ab e3 0f 00 00 00 00 |.x..E.w.{.......| +000005e0 00 00 00 00 3e d4 07 9e bf 0b 96 dd b8 a5 f9 2b |....>..........+| +000005f0 00 00 00 00 00 00 00 00 dc a9 15 74 fb 01 94 1a |...........t....| +00000600 b2 c8 f0 a0 00 00 00 00 00 00 00 00 40 d0 6a fb |............@.j.| +00000610 97 8f 0d 52 4f 2c 04 78 00 00 00 00 00 00 00 00 |...RO,.x........| +00000620 43 22 81 97 29 86 d3 19 dc 14 5a da 00 00 00 00 |C"..).....Z.....| +00000630 00 00 00 00 99 96 52 d5 24 d9 ce ff 34 56 a7 30 |......R.$...4V.0| +00000640 00 00 00 00 00 00 00 00 ef 40 46 e9 41 43 b4 c8 |.........@F.AC..| +00000650 63 ef 6e e7 00 00 00 00 00 00 00 00 f2 30 9b 86 |c.n..........0..| +00000660 19 2b 0a 80 18 24 12 2c 00 00 00 00 00 00 00 00 |.+...$.,........| +00000670 d5 68 41 72 c0 0e 9e 6d 78 0d 4a 77 00 00 00 00 |.hAr...mx.Jw....| +00000680 00 00 00 00 87 3a bf 6a 8f 69 85 1c 6e 95 2d 16 |.....:.j.i..n.-.| +00000690 00 00 00 00 00 00 00 00 cb 7d 52 ba ed ae 3b cd |.........}R...;.| +000006a0 2c be 29 78 00 00 00 00 00 00 00 00 ec 21 3b cb |,.)x.........!;.| +000006b0 1d 2a f8 a4 69 03 9b 07 00 00 00 00 00 00 00 00 |.*..i...........| +000006c0 a8 19 99 5e 2a 54 44 34 82 e4 8b e4 00 00 00 00 |...^*TD4........| +000006d0 00 00 00 00 b1 7e b2 ed 98 44 c5 50 66 58 82 9e |.....~...D.PfX..| +000006e0 00 00 00 00 00 00 00 00 23 ee 28 d0 87 1f ca 98 |........#.(.....| +000006f0 72 bd e6 f3 00 00 00 00 00 00 00 00 dc ee b0 19 |r...............| +00000700 7f ba 7f de 6b 60 db 88 00 00 00 00 00 00 00 00 |....k`..........| +00000710 84 e1 73 f2 33 ec f7 b8 7e c2 89 15 00 00 00 00 |..s.3...~.......| +00000720 00 00 00 00 ef 58 c9 f4 78 4e f8 d2 10 ad a9 c9 |.....X..xN......| +00000730 00 00 00 00 00 00 00 00 90 36 42 be 19 14 92 59 |.........6B....Y| +00000740 c7 c8 91 19 00 00 00 00 00 00 00 00 36 b3 a6 2d |............6..-| +00000750 1a cd d0 44 ee ec d9 25 00 00 00 00 00 00 00 00 |...D...%........| +00000760 c0 4c 35 eb 2b ad 22 0f 8d 26 9d 7d 00 00 00 00 |.L5.+."..&.}....| +00000770 00 00 00 00 e3 ad 29 91 c9 c8 6c aa 05 40 eb af |......)...l..@..| +00000780 00 00 00 00 00 00 00 00 7d a2 0d 74 d5 ad 66 a9 |........}..t..f.| +00000790 3c 08 13 ad 00 00 00 00 00 00 00 00 59 87 95 38 |<...........Y..8| +000007a0 88 36 a1 23 7d 80 0e 64 00 00 00 00 00 00 00 00 |.6.#}..d........| +000007b0 15 ed d8 4d 9e 05 51 88 37 4b a3 f6 00 00 00 00 |...M..Q.7K......| +000007c0 00 00 00 00 0c 6a d4 c2 41 c7 86 7b 49 5e e0 2c |.....j..A..{I^.,| +000007d0 00 00 00 00 00 00 00 00 ca 24 f7 43 c7 b9 0e cf |.........$.C....| +000007e0 f4 80 d0 25 00 00 00 00 00 00 00 00 ac 77 e3 31 |...%.........w.1| +000007f0 e3 7d af b3 33 81 de 26 00 00 00 00 00 00 00 00 |.}..3..&........| +00000800 da f3 9a 2c f9 7c 91 d8 30 d4 3c 62 00 00 00 00 |...,.|..0.....`.;.....| +00000950 00 00 00 00 df 40 31 77 2b 9a db b6 87 10 cf 2b |.....@1w+......+| +00000960 00 00 00 00 00 00 00 00 dc cd e2 b1 d6 54 a6 c5 |.............T..| +00000970 e1 1f e1 b0 00 00 00 00 00 00 00 00 91 82 8d a4 |................| +00000980 cd 80 d9 07 ad 96 3b fa 00 00 00 00 00 00 00 00 |......;.........| +00000990 e0 35 b6 cf d2 28 14 19 24 15 60 bb 00 00 00 00 |.5...(..$.`.....| +000009a0 00 00 00 00 4d b6 10 80 25 67 46 03 1e e8 b7 29 |....M...%gF....)| +000009b0 00 00 00 00 00 00 00 00 8b 39 d1 ef dd 0b 54 f9 |.........9....T.| +000009c0 48 e4 65 f9 00 00 00 00 00 00 00 00 89 37 3a ac |H.e..........7:.| +000009d0 94 c3 26 fc 3c a3 dc d0 00 00 00 00 00 00 00 00 |..&.<...........| +000009e0 9d dd c1 59 85 a9 c1 b0 ed 82 d9 4a 00 00 00 00 |...Y.......J....| +000009f0 00 00 00 00 6c 45 30 5e f9 e7 db d1 fc c2 ab 19 |....lE0^........| BRAM data (bank 2): 160 x 128 @ 0x00000080; 20480 bits = 2560 bytes try 1: -00000000 90 df cc 33 88 36 09 60 ff 58 00 00 00 00 00 00 |...3.6.`.X......| -00000010 00 00 00 00 8c fd c7 ca 87 79 f4 ed 2b 59 00 00 |.........y..+Y..| -00000020 00 00 00 00 00 00 00 00 e9 43 bf 49 59 fe 67 c0 |.........C.IY.g.| -00000030 82 00 00 00 00 00 00 00 00 00 00 00 d9 f2 19 d3 |................| -00000040 c5 58 ed f8 f2 25 00 00 00 00 00 00 00 00 00 00 |.X...%..........| -00000050 9f cd 0d 77 e1 69 8e f1 b9 c9 00 00 00 00 00 00 |...w.i..........| -00000060 00 00 00 00 47 b8 b8 45 23 15 84 a2 35 cd 00 00 |....G..E#...5...| -00000070 00 00 00 00 00 00 00 00 0d e3 0d db 7c 64 9e 52 |............|d.R| -00000080 ec 59 00 00 00 00 00 00 00 00 00 00 58 3e b7 72 |.Y..........X>.r| -00000090 b6 12 a3 d1 cb b3 00 00 00 00 00 00 00 00 00 00 |................| -000000a0 38 13 1c 55 ea f3 0c 96 f6 82 00 00 00 00 00 00 |8..U............| -000000b0 00 00 00 00 f5 8b 46 57 d8 b8 0d cc c5 e9 00 00 |......FW........| -000000c0 00 00 00 00 00 00 00 00 35 ba b8 58 68 53 73 cb |........5..XhSs.| -000000d0 23 7a 00 00 00 00 00 00 00 00 00 00 53 0a b2 e6 |#z..........S...| -000000e0 33 76 ba 8c aa 2b 00 00 00 00 00 00 00 00 00 00 |3v...+..........| -000000f0 6a 6e 07 61 2a 8c f2 3d fa e8 00 00 00 00 00 00 |jn.a*..=........| -00000100 00 00 00 00 2b 14 0d 1a 5c 67 c3 0d 02 28 00 00 |....+...\g...(..| -00000110 00 00 00 00 00 00 00 00 48 14 21 fa d8 8f de ad |........H.!.....| -00000120 c7 a7 00 00 00 00 00 00 00 00 00 00 62 88 28 37 |............b.(7| -00000130 5f 0e 37 3c 68 ba 00 00 00 00 00 00 00 00 00 00 |_.7K......| -00000470 00 00 00 00 3a 87 d8 ef 1b b2 d0 8e 41 77 00 00 |....:.......Aw..| -00000480 00 00 00 00 00 00 00 00 00 76 3e b2 db 8d ec bd |.........v>.....| -00000490 08 ea 00 00 00 00 00 00 00 00 00 00 ea a5 eb 83 |................| -000004a0 d5 fa b6 76 a4 d4 00 00 00 00 00 00 00 00 00 00 |...v............| -000004b0 73 37 4e d6 fe 48 bb 5b bf 3a 00 00 00 00 00 00 |s7N..H.[.:......| -000004c0 00 00 00 00 e9 f0 4d 32 b7 f0 98 dd eb 63 00 00 |......M2.....c..| -000004d0 00 00 00 00 00 00 00 00 79 3e 19 eb c0 37 f4 36 |........y>...7.6| -000004e0 2d 11 00 00 00 00 00 00 00 00 00 00 a8 ab e9 56 |-..............V| -000004f0 25 b0 a1 e2 b9 90 00 00 00 00 00 00 00 00 00 00 |%...............| -00000500 7f 2a 09 26 25 e7 d9 18 38 a4 00 00 00 00 00 00 |.*.&%...8.......| -00000510 00 00 00 00 81 5a e6 22 7e 31 30 41 02 02 00 00 |.....Z."~10A....| -00000520 00 00 00 00 00 00 00 00 ac 94 89 ca 53 80 a0 34 |............S..4| -00000530 01 bc 00 00 00 00 00 00 00 00 00 00 0f 6c f7 d9 |.............l..| -00000540 f9 f6 f6 94 c0 f4 00 00 00 00 00 00 00 00 00 00 |................| -00000550 16 b3 85 0f 5e 61 19 d0 4d 7e 00 00 00 00 00 00 |....^a..M~......| -00000560 00 00 00 00 e0 c2 24 68 46 b9 84 3b 2d be 00 00 |......$hF..;-...| -00000570 00 00 00 00 00 00 00 00 99 1f 25 9c a7 3f a9 79 |..........%..?.y| -00000580 4c f5 00 00 00 00 00 00 00 00 00 00 d4 59 d8 87 |L............Y..| -00000590 58 b5 16 45 69 46 00 00 00 00 00 00 00 00 00 00 |X..EiF..........| -000005a0 cc ad 1b 81 bd 08 1a 41 d3 f5 00 00 00 00 00 00 |.......A........| -000005b0 00 00 00 00 a4 d2 3a 1e 70 93 7c 39 eb b3 00 00 |......:.p.|9....| -000005c0 00 00 00 00 00 00 00 00 7a 65 d3 f8 fb 59 70 ff |........ze...Yp.| -000005d0 7f 7b 00 00 00 00 00 00 00 00 00 00 3c 36 92 1d |.{..........<6..| -000005e0 40 29 1b ee 3a f6 00 00 00 00 00 00 00 00 00 00 |@)..:...........| -000005f0 19 b3 11 1a 2a 87 5d 64 e0 7a 00 00 00 00 00 00 |....*.]d.z......| -00000600 00 00 00 00 d2 07 a7 02 89 96 68 3d fb 1d 00 00 |..........h=....| -00000610 00 00 00 00 00 00 00 00 5f 40 34 4f 73 85 2b 90 |........_@4Os.+.| -00000620 e9 4f 00 00 00 00 00 00 00 00 00 00 cc 2f c6 f1 |.O.........../..| -00000630 3e 31 e9 13 26 18 00 00 00 00 00 00 00 00 00 00 |>1..&...........| -00000640 78 c1 3f 2e e8 6a 3c 5c 6d b0 00 00 00 00 00 00 |x.?..j<\m.......| -00000650 00 00 00 00 d1 9a 30 dc 92 b5 50 17 42 f8 00 00 |......0...P.B...| -00000660 00 00 00 00 00 00 00 00 2a d3 11 76 96 74 49 00 |........*..v.tI.| -00000670 d9 ad 00 00 00 00 00 00 00 00 00 00 ae 56 07 36 |.............V.6| -00000680 80 dd ef 02 26 ee 00 00 00 00 00 00 00 00 00 00 |....&...........| -00000690 b2 8b 76 83 1e 2c df 62 ed 1b 00 00 00 00 00 00 |..v..,.b........| -000006a0 00 00 00 00 58 ae 60 32 53 e0 8a 84 3f 7f 00 00 |....X.`2S...?...| -000006b0 00 00 00 00 00 00 00 00 9d 7a 45 c0 25 b4 63 2c |.........zE.%.c,| -000006c0 cd 3c 00 00 00 00 00 00 00 00 00 00 43 75 24 74 |.<..........Cu$t| -000006d0 fb 89 eb 17 eb 7d 00 00 00 00 00 00 00 00 00 00 |.....}..........| -000006e0 06 62 db b5 01 ef 22 fc 37 a3 00 00 00 00 00 00 |.b....".7.......| -000006f0 00 00 00 00 94 9b d5 3f e7 44 d3 75 15 d9 00 00 |.......?.D.u....| -00000700 00 00 00 00 00 00 00 00 c9 b1 83 0d 67 58 08 d0 |............gX..| -00000710 26 df 00 00 00 00 00 00 00 00 00 00 7a 0e dc 22 |&...........z.."| -00000720 d1 d6 ec 9a ea d8 00 00 00 00 00 00 00 00 00 00 |................| -00000730 2d ac 87 24 e1 de 03 e0 96 f6 00 00 00 00 00 00 |-..$............| -00000740 00 00 00 00 2a 78 72 af 7d f4 bd 23 47 6c 00 00 |....*xr.}..#Gl..| -00000750 00 00 00 00 00 00 00 00 82 5c 3b a7 bd b9 dc 25 |.........\;....%| -00000760 44 4c 00 00 00 00 00 00 00 00 00 00 73 01 76 38 |DL..........s.v8| -00000770 ca cb 52 40 29 4f 00 00 00 00 00 00 00 00 00 00 |..R@)O..........| -00000780 d5 fe 70 9f 5d 67 76 0a cf d7 00 00 00 00 00 00 |..p.]gv.........| -00000790 00 00 00 00 d8 37 0a 91 3e c2 59 2a a2 bb 00 00 |.....7..>.Y*....| -000007a0 00 00 00 00 00 00 00 00 c0 29 5d b5 f9 f4 2f 50 |.........)].../P| -000007b0 39 3b 00 00 00 00 00 00 00 00 00 00 b5 ad 06 07 |9;..............| -000007c0 57 17 0d b2 09 b7 00 00 00 00 00 00 00 00 00 00 |W...............| -000007d0 5e 01 fd 7d 83 25 b0 7e e4 13 00 00 00 00 00 00 |^..}.%.~........| -000007e0 00 00 00 00 79 d8 15 9d f4 b3 03 6f 84 f2 00 00 |....y......o....| -000007f0 00 00 00 00 00 00 00 00 93 4a 36 2f cc 2e d1 1a |.........J6/....| -00000800 b3 79 00 00 00 00 00 00 00 00 00 00 93 a7 e9 03 |.y..............| -00000810 3b 64 0c 38 66 ce 00 00 00 00 00 00 00 00 00 00 |;d.8f...........| -00000820 fa d8 dc a3 5e c1 78 a7 9d a7 00 00 00 00 00 00 |....^.x.........| -00000830 00 00 00 00 25 1f 2b 1b c8 22 4c a5 41 f2 00 00 |....%.+.."L.A...| -00000840 00 00 00 00 00 00 00 00 a5 7f ab 78 b3 44 c6 ca |...........x.D..| -00000850 79 11 00 00 00 00 00 00 00 00 00 00 cd 82 53 57 |y.............SW| -00000860 97 7b 18 3d 12 8b 00 00 00 00 00 00 00 00 00 00 |.{.=............| -00000870 2c 04 d7 70 fd af f0 06 78 85 00 00 00 00 00 00 |,..p....x.......| -00000880 00 00 00 00 51 15 83 be 2f 3e 5f bf 12 b7 00 00 |....Q.../>_.....| -00000890 00 00 00 00 00 00 00 00 c3 f1 d2 7c 90 9c c6 ac |...........|....| -000008a0 62 f3 00 00 00 00 00 00 00 00 00 00 3d 3a d4 cb |b...........=:..| -000008b0 ea 38 33 0e c1 20 00 00 00 00 00 00 00 00 00 00 |.83.. ..........| -000008c0 fc dd b7 d4 6a 03 89 e5 1d 66 00 00 00 00 00 00 |....j....f......| -000008d0 00 00 00 00 fc b6 1b eb 1a 8f a3 4c 0f dd 00 00 |...........L....| -000008e0 00 00 00 00 00 00 00 00 f2 d9 74 7c 77 30 7c 61 |..........t|w0|a| -000008f0 ed 20 00 00 00 00 00 00 00 00 00 00 9c 55 13 2c |. ...........U.,| -00000900 5c 48 78 73 0e 5d 00 00 00 00 00 00 00 00 00 00 |\Hxs.]..........| -00000910 f8 0c 14 87 b3 da c2 e3 90 a2 00 00 00 00 00 00 |................| -00000920 00 00 00 00 e3 6f a1 57 b8 fc d8 bd 4e 40 00 00 |.....o.W....N@..| -00000930 00 00 00 00 00 00 00 00 d8 ca 31 91 ab 30 36 2e |..........1..06.| -00000940 53 b5 00 00 00 00 00 00 00 00 00 00 d8 d3 89 f3 |S...............| -00000950 0b e6 00 28 34 93 00 00 00 00 00 00 00 00 00 00 |...(4...........| -00000960 97 ef f3 26 39 f2 49 87 4d d2 00 00 00 00 00 00 |...&9.I.M.......| -00000970 00 00 00 00 61 24 f0 40 d3 be 53 3e 84 1d 00 00 |....a$.@..S>....| -00000980 00 00 00 00 00 00 00 00 08 e9 dc 65 ca 72 95 11 |...........e.r..| -00000990 02 da 00 00 00 00 00 00 00 00 00 00 fc 65 32 99 |.............e2.| -000009a0 bd 68 97 b5 95 bc 00 00 00 00 00 00 00 00 00 00 |.h..............| -000009b0 ba e2 6c 56 23 eb 2b 10 d3 b1 00 00 00 00 00 00 |..lV#.+.........| -000009c0 00 00 00 00 71 8f 72 b5 c4 84 6f 45 f1 ab 00 00 |....q.r...oE....| -000009d0 00 00 00 00 00 00 00 00 40 2f b0 e6 b3 41 01 7f |........@/...A..| -000009e0 0e 6f 00 00 00 00 00 00 00 00 00 00 cc 6d f9 88 |.o...........m..| -000009f0 ae 55 c3 fd a4 a5 00 00 00 00 00 00 00 00 00 00 |.U..............| +00000000 00 00 00 00 00 00 00 00 38 57 ae 57 f9 d5 0a a5 |........8W.W....| +00000010 18 8c 7d 3c 00 00 00 00 00 00 00 00 d8 65 6e 05 |..}<.........en.| +00000020 81 c8 ee 7a bf b1 67 fc 00 00 00 00 00 00 00 00 |...z..g.........| +00000030 c4 88 1e a0 62 66 90 7c 25 a5 1b 3f 00 00 00 00 |....bf.|%..?....| +00000040 00 00 00 00 01 2b ba 5d cc 2b 3c 35 23 18 90 61 |.....+.].+<5#..a| +00000050 00 00 00 00 00 00 00 00 e7 30 2f 50 fd f3 b3 b1 |.........0/P....| +00000060 44 8f 24 f2 00 00 00 00 00 00 00 00 9b c1 f4 ef |D.$.............| +00000070 ac ee 1a ce d7 a5 f3 68 00 00 00 00 00 00 00 00 |.......h........| +00000080 51 61 e1 f3 97 f6 c2 15 58 10 b9 43 00 00 00 00 |Qa......X..C....| +00000090 00 00 00 00 76 2f 61 c0 fd b6 5e 21 ee 45 9b 69 |....v/a...^!.E.i| +000000a0 00 00 00 00 00 00 00 00 cc e1 75 67 e0 7f 94 d4 |..........ug....| +000000b0 f8 59 3c 6c 00 00 00 00 00 00 00 00 d2 43 2b 35 |.Y5..F........| +000000d0 cb c0 23 f5 3d fa 15 cd 13 6b 76 6e 00 00 00 00 |..#.=....kvn....| +000000e0 00 00 00 00 8d b2 7c 37 f1 3f 9f 10 e4 4b c6 86 |......|7.?...K..| +000000f0 00 00 00 00 00 00 00 00 64 d0 a7 e3 7e 23 a9 12 |........d...~#..| +00000100 7b 32 13 a0 00 00 00 00 00 00 00 00 43 84 3b 65 |{2..........C.;e| +00000110 93 fb 37 ac ff b6 97 02 00 00 00 00 00 00 00 00 |..7.............| +00000120 8e d6 a8 3e ad 53 ff d2 df 5a 51 f8 00 00 00 00 |...>.S...ZQ.....| +00000130 00 00 00 00 c7 7f 84 71 b8 b0 75 95 5e 28 51 23 |.......q..u.^(Q#| +00000140 00 00 00 00 00 00 00 00 18 09 31 03 d3 2e c1 ee |..........1.....| +00000150 d3 56 57 d6 00 00 00 00 00 00 00 00 cb b3 3e dc |.VW...........>.| +00000160 3e b4 73 6f 01 92 e3 b8 00 00 00 00 00 00 00 00 |>.so............| +00000170 1b 1a af 9b 3f 09 09 04 b5 df ae 04 00 00 00 00 |....?...........| +00000180 00 00 00 00 06 81 27 79 33 23 91 c0 ce 7a ef f6 |......'y3#...z..| +00000190 00 00 00 00 00 00 00 00 50 3e 4a 87 f5 79 ac 8e |........P>J..y..| +000001a0 37 d2 c2 96 00 00 00 00 00 00 00 00 6f df c7 ca |7...........o...| +000001b0 1d f9 bd 55 42 a4 30 c4 00 00 00 00 00 00 00 00 |...UB.0.........| +000001c0 f7 57 87 30 8b bd 6b 5f fb 91 d4 3f 00 00 00 00 |.W.0..k_...?....| +000001d0 00 00 00 00 28 8b 46 56 46 f3 bc ed 24 4d 14 38 |....(.FVF...$M.8| +000001e0 00 00 00 00 00 00 00 00 bb ae f8 29 8f 2a e0 b5 |...........).*..| +000001f0 98 e3 ed ec 00 00 00 00 00 00 00 00 0a 68 3e 41 |.............h>A| +00000200 11 81 1e 4d c8 74 67 55 00 00 00 00 00 00 00 00 |...M.tgU........| +00000210 c5 1c d8 f1 71 cd c5 52 7c b8 3b 01 00 00 00 00 |....q..R|.;.....| +00000220 00 00 00 00 32 dc 4d 9d ed 88 1f cd 9e 84 af a0 |....2.M.........| +00000230 00 00 00 00 00 00 00 00 11 d9 68 17 d5 be a0 5f |..........h...._| +00000240 8f b4 e4 50 00 00 00 00 00 00 00 00 6d f9 7a 4d |...P........m.zM| +00000250 f6 ce 85 ed a4 e3 b2 b2 00 00 00 00 00 00 00 00 |................| +00000260 79 11 12 fd c9 73 6b 07 cc d3 89 89 00 00 00 00 |y....sk.........| +00000270 00 00 00 00 3c c3 42 15 d4 d4 02 2d df 78 06 77 |....<.B....-.x.w| +00000280 00 00 00 00 00 00 00 00 91 e1 54 21 c6 2a d9 30 |..........T!.*.0| +00000290 db 5a 7e fa 00 00 00 00 00 00 00 00 bd 93 c1 25 |.Z~............%| +000002a0 93 39 97 38 31 bb a5 98 00 00 00 00 00 00 00 00 |.9.81...........| +000002b0 39 00 aa 48 c7 f4 01 21 37 9e e1 19 00 00 00 00 |9..H...!7.......| +000002c0 00 00 00 00 2b 94 1a 95 4f 61 fa 98 8f 36 65 75 |....+...Oa...6eu| +000002d0 00 00 00 00 00 00 00 00 04 bb 15 93 e9 f3 16 06 |................| +000002e0 41 e4 8e bc 00 00 00 00 00 00 00 00 ad e8 63 05 |A.............c.| +000002f0 96 9f 3f 97 5e 40 30 f8 00 00 00 00 00 00 00 00 |..?.^@0.........| +00000300 1a 1d 12 25 91 65 f1 7d af 74 d9 80 00 00 00 00 |...%.e.}.t......| +00000310 00 00 00 00 fa 6d 3c 3f 5f 06 89 6c e0 d4 c6 96 |.....mL....a| +000003d0 35 c9 06 7a 00 00 00 00 00 00 00 00 27 25 ed ec |5..z........'%..| +000003e0 65 ed 80 94 1b a7 c7 a7 00 00 00 00 00 00 00 00 |e...............| +000003f0 f4 db 38 62 9a b5 58 25 36 1d e5 b6 00 00 00 00 |..8b..X%6.......| +00000400 00 00 00 00 8d 88 d0 ae 27 95 64 2e 6e 45 7f 5a |........'.d.nE.Z| +00000410 00 00 00 00 00 00 00 00 97 9e 04 a3 e5 36 f5 1c |.............6..| +00000420 36 d9 a5 41 00 00 00 00 00 00 00 00 82 28 6d 24 |6..A.........(m$| +00000430 43 35 56 38 b9 c5 2e 5f 00 00 00 00 00 00 00 00 |C5V8..._........| +00000440 1d 0c ea 56 5c a8 78 74 36 c2 bf 9c 00 00 00 00 |...V\.xt6.......| +00000450 00 00 00 00 af 0b d4 67 79 35 a0 1b c0 47 82 cc |.......gy5...G..| +00000460 00 00 00 00 00 00 00 00 67 77 af 04 56 94 4b d3 |........gw..V.K.| +00000470 4d 14 ee 61 00 00 00 00 00 00 00 00 67 5c d3 c9 |M..a........g\..| +00000480 93 18 ac e1 1e ce 9c ec 00 00 00 00 00 00 00 00 |................| +00000490 0a 45 f2 b0 1b c7 6a 82 dc 8d f9 76 00 00 00 00 |.E....j....v....| +000004a0 00 00 00 00 6b 7a 35 4e 07 f0 00 a6 19 19 b2 47 |....kz5N.......G| +000004b0 00 00 00 00 00 00 00 00 ec d9 9a 6c b7 53 bc 5d |...........l.S.]| +000004c0 ee 6c eb 2b 00 00 00 00 00 00 00 00 24 73 70 7d |.l.+........$sp}| +000004d0 99 40 9b a5 b9 31 9a 41 00 00 00 00 00 00 00 00 |.@...1.A........| +000004e0 d0 94 9a a8 f6 87 66 18 55 a9 61 fb 00 00 00 00 |......f.U.a.....| +000004f0 00 00 00 00 72 6c 03 9e ee c6 e8 d7 6a ae 6f 2a |....rl......j.o*| +00000500 00 00 00 00 00 00 00 00 4c 4f 2a 87 1e 22 21 3d |........LO*.."!=| +00000510 8d 16 66 3d 00 00 00 00 00 00 00 00 5b fe c6 e9 |..f=........[...| +00000520 39 9b a3 43 d9 29 b4 7a 00 00 00 00 00 00 00 00 |9..C.).z........| +00000530 92 f0 e0 8d 13 4c e6 7d 28 d2 17 65 00 00 00 00 |.....L.}(..e....| +00000540 00 00 00 00 49 d8 fd 32 9e 4a f0 c7 29 f9 6e 6c |....I..2.J..).nl| +00000550 00 00 00 00 00 00 00 00 c0 2a 47 a4 8c dd 97 6e |.........*G....n| +00000560 1a bd 14 3a 00 00 00 00 00 00 00 00 d4 46 7e 04 |...:.........F~.| +00000570 34 c8 90 64 1f d4 3a a2 00 00 00 00 00 00 00 00 |4..d..:.........| +00000580 2b 35 67 48 6e c5 c0 8a 8b 26 76 96 00 00 00 00 |+5gHn....&v.....| +00000590 00 00 00 00 00 e5 06 d4 8f 87 2b 34 ef 18 e1 71 |..........+4...q| +000005a0 00 00 00 00 00 00 00 00 f2 33 52 89 65 e1 56 97 |.........3R.e.V.| +000005b0 30 ca ee 08 00 00 00 00 00 00 00 00 96 28 35 a6 |0............(5.| +000005c0 95 21 57 0a ac 3c 6a 7a 00 00 00 00 00 00 00 00 |.!W..N"-".Q........| +00000670 c0 da 75 28 c9 a9 0f db 2a 72 2e af 00 00 00 00 |..u(....*r......| +00000680 00 00 00 00 3c cf d5 61 fb ce 58 c3 2b 95 6a 69 |....<..a..X.+.ji| +00000690 00 00 00 00 00 00 00 00 62 d3 8e 50 4a 62 f7 3e |........b..PJb.>| +000006a0 de c5 93 d5 00 00 00 00 00 00 00 00 60 5b 79 ef |............`[y.| +000006b0 dc 55 51 14 b4 20 01 81 00 00 00 00 00 00 00 00 |.UQ.. ..........| +000006c0 14 00 b2 43 78 90 6b 39 e4 24 29 88 00 00 00 00 |...Cx.k9.$).....| +000006d0 00 00 00 00 1a 50 6d a6 b7 e6 fe 9b 57 1e 11 4a |.....Pm.....W..J| +000006e0 00 00 00 00 00 00 00 00 fd 95 40 7a 2e e1 c6 df |..........@z....| +000006f0 ca 2f 23 96 00 00 00 00 00 00 00 00 c0 7f c9 79 |./#............y| +00000700 2a 09 aa c5 8f 96 c7 41 00 00 00 00 00 00 00 00 |*......A........| +00000710 4f 0a 41 db 6d f4 b1 e6 c4 64 4d 27 00 00 00 00 |O.A.m....dM'....| +00000720 00 00 00 00 ea 8a 98 88 3a e1 72 fa 31 e3 dc 3a |........:.r.1..:| +00000730 00 00 00 00 00 00 00 00 a0 88 31 2c 12 e4 56 c1 |..........1,..V.| +00000740 76 25 d6 2c 00 00 00 00 00 00 00 00 d3 99 fd 2b |v%.,...........+| +00000750 6b d2 5b 7f dc ae 38 4c 00 00 00 00 00 00 00 00 |k.[...8L........| +00000760 94 bd 78 93 c7 fc 7b 3c 00 73 17 52 00 00 00 00 |..x...{<.s.R....| +00000770 00 00 00 00 6f 7e 38 07 22 d0 50 89 c0 06 94 2e |....o~8.".P.....| +00000780 00 00 00 00 00 00 00 00 b1 19 0f d8 09 cc 00 f4 |................| +00000790 4f 7d c0 63 00 00 00 00 00 00 00 00 a7 a1 53 af |O}.c..........S.| +000007a0 23 76 1e 6d 39 52 64 e8 00 00 00 00 00 00 00 00 |#v.m9Rd.........| +000007b0 78 64 78 c0 18 ca e5 df ec 84 14 41 00 00 00 00 |xdx........A....| +000007c0 00 00 00 00 20 c4 cc 7a 0b 4e 32 01 5d 8f 5e 8a |.... ..z.N2.].^.| +000007d0 00 00 00 00 00 00 00 00 e8 43 19 81 12 48 7a e5 |.........C...Hz.| +000007e0 61 62 8b 9b 00 00 00 00 00 00 00 00 a1 5e 6a 34 |ab...........^j4| +000007f0 75 ed fd 95 aa 39 1a 7b 00 00 00 00 00 00 00 00 |u....9.{........| +00000800 08 dd d2 4d 1d fd 8b b8 a3 65 73 11 00 00 00 00 |...M.....es.....| +00000810 00 00 00 00 02 fd 7c df 22 fe d8 5d c3 c2 d3 ef |......|."..]....| +00000820 00 00 00 00 00 00 00 00 58 3c e2 68 a9 4b ab 44 |........X<.h.K.D| +00000830 89 00 d4 3d 00 00 00 00 00 00 00 00 af 5d 2d 02 |...=.........]-.| +00000840 f9 e6 79 a4 31 12 56 85 00 00 00 00 00 00 00 00 |..y.1.V.........| +00000850 de df f5 8e 30 a3 60 a8 8a de fc 4f 00 00 00 00 |....0.`....O....| +00000860 00 00 00 00 79 ff 9c b3 5c ed 0f 54 f8 3d b2 61 |....y...\..T.=.a| +00000870 00 00 00 00 00 00 00 00 83 78 b6 60 e0 1b 4b 86 |.........x.`..K.| +00000880 9d 32 06 8d 00 00 00 00 00 00 00 00 b4 75 c2 dc |.2...........u..| +00000890 e8 b6 68 ca db b0 fa 46 00 00 00 00 00 00 00 00 |..h....F........| +000008a0 85 43 70 11 42 bd 74 11 e9 a5 e6 a1 00 00 00 00 |.Cp.B.t.........| +000008b0 00 00 00 00 52 6a b8 16 89 1b 0d 9e 8a e5 77 e5 |....Rj........w.| +000008c0 00 00 00 00 00 00 00 00 8e d2 0c 76 6e d8 1d da |...........vn...| +000008d0 ab bf 5a ed 00 00 00 00 00 00 00 00 76 7b 45 a9 |..Z.........v{E.| +000008e0 0b b4 ff e3 d5 11 cc e1 00 00 00 00 00 00 00 00 |................| +000008f0 2e 10 c5 ae 3d 02 5f b2 12 f8 04 a4 00 00 00 00 |....=._.........| +00000900 00 00 00 00 64 fb 35 7c 5a 0b ba 9e 15 cf da 9e |....d.5|Z.......| +00000910 00 00 00 00 00 00 00 00 10 a0 4e 31 96 65 39 03 |..........N1.e9.| +00000920 a0 d3 9a bc 00 00 00 00 00 00 00 00 c5 e1 db ed |................| +00000930 22 2f d5 b5 52 95 35 cb 00 00 00 00 00 00 00 00 |"/..R.5.........| +00000940 d4 f2 f3 e0 ae b7 31 71 e6 35 96 02 00 00 00 00 |......1q.5......| +00000950 00 00 00 00 1a e4 e8 dc a6 42 c2 64 32 44 17 8b |.........B.d2D..| +00000960 00 00 00 00 00 00 00 00 98 00 cb 94 e5 10 d5 ad |................| +00000970 58 67 98 a7 00 00 00 00 00 00 00 00 32 05 86 ab |Xg..........2...| +00000980 2b 13 c9 60 da 9c 8e bd 00 00 00 00 00 00 00 00 |+..`............| +00000990 c4 cd 49 9e cd 3e 54 48 2a 9a 0c 49 00 00 00 00 |..I..>TH*..I....| +000009a0 00 00 00 00 87 b5 a2 81 63 f0 0b 1d fa 1c 86 8b |........c.......| +000009b0 00 00 00 00 00 00 00 00 13 61 d8 dd ff 3e bc d9 |.........a...>..| +000009c0 ca 72 b4 53 00 00 00 00 00 00 00 00 c1 9f cf 4a |.r.S...........J| +000009d0 7d 44 d9 d0 85 e3 b2 b4 00 00 00 00 00 00 00 00 |}D..............| +000009e0 62 d0 65 a9 5d c1 d7 16 dd ef 2f 79 00 00 00 00 |b.e.]...../y....| +000009f0 00 00 00 00 06 18 92 4a c2 cb 47 38 63 a5 d6 b5 |.......J..G8c...| BRAM data (bank 3): 80 x 128 @ 0x00000000; 10240 bits = 1280 bytes try 1: -00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000000 e3 8d 17 e7 00 00 00 00 00 00 44 04 19 e3 00 00 |..........D.....| +00000010 00 00 00 00 30 08 7c 51 00 00 00 00 00 00 ea 19 |....0.|Q........| +00000020 a3 d9 00 00 00 00 00 00 e8 fb 0a af 00 00 00 00 |................| +00000030 00 00 c6 fc b2 9d 00 00 00 00 00 00 6d 18 e7 30 |............m..0| +00000040 00 00 00 00 00 00 df d6 4e 6e 00 00 00 00 00 00 |........Nn......| +00000050 6c 83 d1 f9 00 00 00 00 00 00 df f8 cf fe 00 00 |l...............| +00000060 00 00 00 00 f1 87 c1 10 00 00 00 00 00 00 c6 17 |................| +00000070 4b fa 00 00 00 00 00 00 8c 34 bb 10 00 00 00 00 |K........4......| +00000080 00 00 32 1f 06 9a 00 00 00 00 00 00 11 7a 1c 72 |..2..........z.r| +00000090 00 00 00 00 00 00 7b 0c 25 c1 00 00 00 00 00 00 |......{.%.......| +000000a0 26 dd 8b ad 00 00 00 00 00 00 1e 70 39 d7 00 00 |&..........p9...| +000000b0 00 00 00 00 20 a9 fc 3d 00 00 00 00 00 00 c7 88 |.... ..=........| +000000c0 8a c4 00 00 00 00 00 00 8c 61 8b 61 00 00 00 00 |.........a.a....| +000000d0 00 00 97 67 4d d1 00 00 00 00 00 00 16 db e7 5b |...gM..........[| +000000e0 00 00 00 00 00 00 49 3b 67 d9 00 00 00 00 00 00 |......I;g.......| +000000f0 26 39 9f d3 00 00 00 00 00 00 d1 f1 d6 8e 00 00 |&9..............| +00000100 00 00 00 00 66 c7 e7 05 00 00 00 00 00 00 8e 2a |....f..........*| +00000110 7e e1 00 00 00 00 00 00 47 72 73 9a 00 00 00 00 |~.......Grs.....| +00000120 00 00 c1 a9 18 c5 00 00 00 00 00 00 0e 4c 55 11 |.............LU.| +00000130 00 00 00 00 00 00 28 80 35 82 00 00 00 00 00 00 |......(.5.......| +00000140 5d a4 4d 22 00 00 00 00 00 00 c8 e7 dc 4d 00 00 |].M".........M..| +00000150 00 00 00 00 35 91 27 2b 00 00 00 00 00 00 5d 56 |....5.'+......]V| +00000160 99 30 00 00 00 00 00 00 d3 f8 25 25 00 00 00 00 |.0........%%....| +00000170 00 00 ae 00 7f f1 00 00 00 00 00 00 61 9b ba 56 |............a..V| +00000180 00 00 00 00 00 00 cc d7 c4 53 00 00 00 00 00 00 |.........S......| +00000190 a3 4b e8 2f 00 00 00 00 00 00 64 94 84 8b 00 00 |.K./......d.....| +000001a0 00 00 00 00 19 22 ec 90 00 00 00 00 00 00 fd db |....."..........| +000001b0 92 39 00 00 00 00 00 00 e1 49 03 f1 00 00 00 00 |.9.......I......| +000001c0 00 00 7e 2d 94 f5 00 00 00 00 00 00 9d 0a 14 aa |..~-............| +000001d0 00 00 00 00 00 00 d2 75 8f 1e 00 00 00 00 00 00 |.......u........| +000001e0 68 27 6f 88 00 00 00 00 00 00 4d 95 32 b3 00 00 |h'o.......M.2...| +000001f0 00 00 00 00 82 21 e3 5e 00 00 00 00 00 00 02 57 |.....!.^.......W| +00000200 6f 26 00 00 00 00 00 00 6c a4 13 a0 00 00 00 00 |o&......l.......| +00000210 00 00 54 5b 96 dc 00 00 00 00 00 00 b0 43 8a 96 |..T[.........C..| +00000220 00 00 00 00 00 00 70 7d fc 2b 00 00 00 00 00 00 |......p}.+......| +00000230 5d 08 02 45 00 00 00 00 00 00 c1 45 b0 b5 00 00 |]..E.......E....| +00000240 00 00 00 00 f7 17 64 db 00 00 00 00 00 00 49 25 |......d.......I%| +00000250 56 cc 00 00 00 00 00 00 c1 1c 20 d8 00 00 00 00 |V......... .....| +00000260 00 00 c8 5e d6 b6 00 00 00 00 00 00 9d 1d ab c5 |...^............| +00000270 00 00 00 00 00 00 48 1d 70 37 00 00 00 00 00 00 |......H.p7......| +00000280 e8 7f ec e7 00 00 00 00 00 00 f2 b4 02 af 00 00 |................| +00000290 00 00 00 00 10 89 6b d9 00 00 00 00 00 00 09 17 |......k.........| +000002a0 01 e5 00 00 00 00 00 00 4d a3 e0 df 00 00 00 00 |........M.......| +000002b0 00 00 4c a1 66 af 00 00 00 00 00 00 0f 8b e4 e8 |..L.f...........| +000002c0 00 00 00 00 00 00 ad d0 80 27 00 00 00 00 00 00 |.........'......| +000002d0 26 94 66 44 00 00 00 00 00 00 d4 aa f7 d0 00 00 |&.fD............| +000002e0 00 00 00 00 18 66 5f 5b 00 00 00 00 00 00 4b d8 |.....f_[......K.| +000002f0 f9 f3 00 00 00 00 00 00 ed b4 24 4b 00 00 00 00 |..........$K....| +00000300 00 00 42 00 bf b4 00 00 00 00 00 00 2a b7 8e 1d |..B.........*...| +00000310 00 00 00 00 00 00 aa 43 11 09 00 00 00 00 00 00 |.......C........| +00000320 00 b3 82 63 00 00 00 00 00 00 55 1a df b2 00 00 |...c......U.....| +00000330 00 00 00 00 53 91 fd 11 00 00 00 00 00 00 43 54 |....S.........CT| +00000340 76 27 00 00 00 00 00 00 06 e8 ff 99 00 00 00 00 |v'..............| +00000350 00 00 73 32 95 d6 00 00 00 00 00 00 df 6b 1b 1a |..s2.........k..| +00000360 00 00 00 00 00 00 e4 99 75 11 00 00 00 00 00 00 |........u.......| +00000370 12 7d 9e 0b 00 00 00 00 00 00 3f ff 4d 89 00 00 |.}........?.M...| +00000380 00 00 00 00 04 cc a3 8c 00 00 00 00 00 00 e4 fd |................| +00000390 3c 66 00 00 00 00 00 00 c0 17 6d 4d 00 00 00 00 |.......k..u| +00000400 00 00 00 00 00 00 cf 77 41 61 00 00 00 00 00 00 |.......wAa......| +00000410 ee 5e 55 55 00 00 00 00 00 00 02 ef 2f 3c 00 00 |.^UU......../<..| +00000420 00 00 00 00 30 c1 b8 8c 00 00 00 00 00 00 7f 81 |....0...........| +00000430 0f 07 00 00 00 00 00 00 c8 f2 54 47 00 00 00 00 |..........TG....| +00000440 00 00 30 76 55 73 00 00 00 00 00 00 b9 ff 24 2f |..0vUs........$/| +00000450 00 00 00 00 00 00 0c b0 50 41 00 00 00 00 00 00 |........PA......| +00000460 d0 11 3f af 00 00 00 00 00 00 32 93 48 38 00 00 |..?.......2.H8..| +00000470 00 00 00 00 65 20 84 1e 00 00 00 00 00 00 da a9 |....e ..........| +00000480 ad 97 00 00 00 00 00 00 4c 1e cc fd 00 00 00 00 |........L.......| +00000490 00 00 6c 2b 35 99 00 00 00 00 00 00 c0 6d bd b5 |..l+5........m..| +000004a0 00 00 00 00 00 00 52 71 35 36 00 00 00 00 00 00 |......Rq56......| +000004b0 db d7 e1 0d 00 00 00 00 00 00 75 2b c9 fe 00 00 |..........u+....| +000004c0 00 00 00 00 0e 89 2a 93 00 00 00 00 00 00 90 26 |......*........&| +000004d0 45 46 00 00 00 00 00 00 ce 01 7f 56 00 00 00 00 |EF.........V....| +000004e0 00 00 a8 13 8d d1 00 00 00 00 00 00 33 3d d6 da |............3=..| +000004f0 00 00 00 00 00 00 b1 7f 52 51 00 00 00 00 00 00 |........RQ......| BRAM data (bank 3): 80 x 128 @ 0x00000080; 10240 bits = 1280 bytes try 1: -00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000000 c1 57 68 76 00 00 00 00 00 00 d8 66 0f b5 00 00 |.Whv.......f....| +00000010 00 00 00 00 31 50 9e c7 00 00 00 00 00 00 0b a5 |....1P..........| +00000020 ae 83 00 00 00 00 00 00 61 47 28 f7 00 00 00 00 |........aG(.....| +00000030 00 00 50 a4 74 68 00 00 00 00 00 00 15 37 44 87 |..P.th.......7D.| +00000040 00 00 00 00 00 00 08 df 6b 64 00 00 00 00 00 00 |........kd......| +00000050 04 33 fd 13 00 00 00 00 00 00 0e 1a c9 c8 00 00 |.3..............| +00000060 00 00 00 00 55 b4 9e 8b 00 00 00 00 00 00 98 f7 |....U...........| +00000070 23 c4 00 00 00 00 00 00 9d 41 48 56 00 00 00 00 |#........AHV....| +00000080 00 00 01 9c e4 40 00 00 00 00 00 00 89 50 c8 ad |.....@.......P..| +00000090 00 00 00 00 00 00 68 37 1d b4 00 00 00 00 00 00 |......h7........| +000000a0 67 99 83 91 00 00 00 00 00 00 1d 21 46 24 00 00 |g..........!F$..| +000000b0 00 00 00 00 ca 4e 6e 3f 00 00 00 00 00 00 a2 c4 |.....Nn?........| +000000c0 cd 04 00 00 00 00 00 00 e9 bd 81 d7 00 00 00 00 |................| +000000d0 00 00 34 34 b1 e4 00 00 00 00 00 00 43 1a 32 75 |..44........C.2u| +000000e0 00 00 00 00 00 00 7b 51 6c fa 00 00 00 00 00 00 |......{Ql.......| +000000f0 14 ff 63 c7 00 00 00 00 00 00 f1 37 45 91 00 00 |..c........7E...| +00000100 00 00 00 00 13 d6 87 36 00 00 00 00 00 00 00 88 |.......6........| +00000110 d1 40 00 00 00 00 00 00 4d 63 b3 84 00 00 00 00 |.@......Mc......| +00000120 00 00 19 51 e7 7d 00 00 00 00 00 00 ae 53 05 f0 |...Q.}.......S..| +00000130 00 00 00 00 00 00 f1 07 a7 0e 00 00 00 00 00 00 |................| +00000140 f6 a7 ff 9c 00 00 00 00 00 00 96 c3 44 00 00 00 |............D...| +00000150 00 00 00 00 1c 8b 53 4d 00 00 00 00 00 00 23 b0 |......SM......#.| +00000160 47 9c 00 00 00 00 00 00 7f 4f ac c2 00 00 00 00 |G........O......| +00000170 00 00 a3 ab a6 e9 00 00 00 00 00 00 3b c6 c0 76 |............;..v| +00000180 00 00 00 00 00 00 e9 96 65 8c 00 00 00 00 00 00 |........e.......| +00000190 a7 c1 11 42 00 00 00 00 00 00 59 f7 72 7a 00 00 |...B......Y.rz..| +000001a0 00 00 00 00 8a 1d be f8 00 00 00 00 00 00 21 3e |..............!>| +000001b0 58 0e 00 00 00 00 00 00 39 85 36 96 00 00 00 00 |X.......9.6.....| +000001c0 00 00 1b 8d 09 87 00 00 00 00 00 00 60 eb b4 55 |............`..U| +000001d0 00 00 00 00 00 00 0e f1 93 2f 00 00 00 00 00 00 |........./......| +000001e0 62 0f 3b 53 00 00 00 00 00 00 c6 27 04 83 00 00 |b.;S.......'....| +000001f0 00 00 00 00 52 ac 83 d6 00 00 00 00 00 00 74 0a |....R.........t.| +00000200 59 d2 00 00 00 00 00 00 cb 7e 83 3f 00 00 00 00 |Y........~.?....| +00000210 00 00 6c 5e c7 89 00 00 00 00 00 00 84 fa 84 24 |..l^...........$| +00000220 00 00 00 00 00 00 49 ac 36 2d 00 00 00 00 00 00 |......I.6-......| +00000230 9d 19 9a 17 00 00 00 00 00 00 d1 2d 80 aa 00 00 |...........-....| +00000240 00 00 00 00 77 8d 40 e9 00 00 00 00 00 00 0f f6 |....w.@.........| +00000250 9e 9e 00 00 00 00 00 00 82 c3 70 b7 00 00 00 00 |..........p.....| +00000260 00 00 46 78 72 11 00 00 00 00 00 00 f9 bb 05 6c |..Fxr..........l| +00000270 00 00 00 00 00 00 56 69 86 b8 00 00 00 00 00 00 |......Vi........| +00000280 7a cd ba d1 00 00 00 00 00 00 8b ed 4d 47 00 00 |z...........MG..| +00000290 00 00 00 00 c5 0f 7b cf 00 00 00 00 00 00 52 fc |......{.......R.| +000002a0 5c 02 00 00 00 00 00 00 11 f1 09 db 00 00 00 00 |\...............| +000002b0 00 00 02 c1 41 9a 00 00 00 00 00 00 52 8a 4c 51 |....A.......R.LQ| +000002c0 00 00 00 00 00 00 ce 61 6c d2 00 00 00 00 00 00 |.......al.......| +000002d0 21 52 66 1e 00 00 00 00 00 00 5a 33 6c 48 00 00 |!Rf.......Z3lH..| +000002e0 00 00 00 00 4f f8 03 e5 00 00 00 00 00 00 b5 64 |....O..........d| +000002f0 d2 23 00 00 00 00 00 00 32 5a fa f4 00 00 00 00 |.#......2Z......| +00000300 00 00 c2 01 c1 23 00 00 00 00 00 00 80 f3 b2 10 |.....#..........| +00000310 00 00 00 00 00 00 9d b4 7b 40 00 00 00 00 00 00 |........{@......| +00000320 3b 0b 51 02 00 00 00 00 00 00 98 03 f5 97 00 00 |;.Q.............| +00000330 00 00 00 00 1c 1d 25 15 00 00 00 00 00 00 f7 97 |......%.........| +00000340 b7 fc 00 00 00 00 00 00 b3 59 4c 89 00 00 00 00 |.........YL.....| +00000350 00 00 72 a7 f5 80 00 00 00 00 00 00 32 27 d7 3c |..r.........2'.<| +00000360 00 00 00 00 00 00 b8 3d ba 6e 00 00 00 00 00 00 |.......=.n......| +00000370 5c 7d 28 3c 00 00 00 00 00 00 f1 ff cf 10 00 00 |\}(<............| +00000380 00 00 00 00 25 a3 56 c0 00 00 00 00 00 00 4f c8 |....%.V.......O.| +00000390 0a cb 00 00 00 00 00 00 29 25 91 49 00 00 00 00 |........)%.I....| +000003a0 00 00 47 43 cb 87 00 00 00 00 00 00 20 86 6e 94 |..GC........ .n.| +000003b0 00 00 00 00 00 00 16 34 8f a6 00 00 00 00 00 00 |.......4........| +000003c0 74 3a 54 7b 00 00 00 00 00 00 d1 40 1f a1 00 00 |t:T{.......@....| +000003d0 00 00 00 00 52 1a b5 18 00 00 00 00 00 00 41 01 |....R.........A.| +000003e0 2c b4 00 00 00 00 00 00 ed 00 e2 97 00 00 00 00 |,...............| +000003f0 00 00 27 4c b3 8d 00 00 00 00 00 00 73 46 20 74 |..'L........sF t| +00000400 00 00 00 00 00 00 6f 00 2d 87 00 00 00 00 00 00 |......o.-.......| +00000410 e5 4d 2a 1f 00 00 00 00 00 00 da 2e 73 26 00 00 |.M*.........s&..| +00000420 00 00 00 00 a2 da 22 33 00 00 00 00 00 00 d7 34 |......"3.......4| +00000430 fd f5 00 00 00 00 00 00 70 3b 7f 44 00 00 00 00 |........p;.D....| +00000440 00 00 3e 44 b8 ab 00 00 00 00 00 00 02 4c 89 8e |..>D.........L..| +00000450 00 00 00 00 00 00 5a 49 0b d4 00 00 00 00 00 00 |......ZI........| +00000460 9a bc 30 7e 00 00 00 00 00 00 85 b3 09 09 00 00 |..0~............| +00000470 00 00 00 00 92 18 4a 50 00 00 00 00 00 00 8a 6a |......JP.......j| +00000480 3a e4 00 00 00 00 00 00 b7 89 18 62 00 00 00 00 |:..........b....| +00000490 00 00 d5 67 f7 e5 00 00 00 00 00 00 2f 02 4d 33 |...g......../.M3| +000004a0 00 00 00 00 00 00 12 97 5d 9e 00 00 00 00 00 00 |........].......| +000004b0 45 ad cd 70 00 00 00 00 00 00 84 0c 79 6f 00 00 |E..p........yo..| +000004c0 00 00 00 00 ef 06 7c 03 00 00 00 00 00 00 cf 14 |......|.........| +000004d0 0f 44 00 00 00 00 00 00 8c ae af 5f 00 00 00 00 |.D........._....| +000004e0 00 00 c8 7c cb 7d 00 00 00 00 00 00 bc de 4d d9 |...|.}........M.| +000004f0 00 00 00 00 00 00 53 5c f7 93 00 00 00 00 00 00 |......S\........| crc check (0000 == 0000) diff --git a/samerand b/samerand index 2655c83..7dd5eb2 100644 Binary files a/samerand and b/samerand differ diff --git a/samerand.c b/samerand.c index cd01aed..8dd7c14 100644 --- a/samerand.c +++ b/samerand.c @@ -1,24 +1,32 @@ #include #include -uint32_t polynomial = 0x04C11DB7; -static uint32_t rand_step(uint32_t input) { +uint32_t xorshift32(uint32_t x) +{ + /* Algorithm "xor" from p. 4 of Marsaglia, "Xorshift RNGs" */ + x = x ^ (x << 13); + x = x ^ (x >> 17); + x = x ^ (x << 5); + return x; +} + +uint32_t get_rand(uint32_t x) { + uint32_t out = 0; int i; - uint32_t output = input + 1; for (i = 0; i < 32; i++) { - if (output & 0x80000000) - output ^= polynomial; - output = output << 1; + x = xorshift32(x); + if ((x & 1) == 1) + out = out | (1<< i); } - return output; + return out; } int main(int argc, char **argv) { int i; - uint32_t init = 0; - for (i = 0; i < 256; i++) { - init = rand_step(init); + uint32_t init = 1; + for (i = 0; i < 2048; i++) { + init = get_rand(init); printf("%08x\n", init); } diff --git a/samerand.py b/samerand.py index d6441e5..d74d36e 100644 --- a/samerand.py +++ b/samerand.py @@ -1,19 +1,27 @@ #!/usr/bin/env python3 # World's worst random number generator -def rand_step(inp): - polynomial = 0x04C11DB7 - output = inp + 1 +def xorshift32(x): + x = x ^ (x << 13) & 0xffffffff + x = x ^ (x >> 17) & 0xffffffff + x = x ^ (x << 5) & 0xffffffff + return x & 0xffffffff + +def get_rand(x): + out = 0 for i in range(32): - if output & 0x80000000: - output ^= polynomial - output = (output << 1) & 0xffffffff - return output & 0xffffffff + x = xorshift32(x) + if (x & 1) == 1: + out = out | (1 << i) + return out & 0xffffffff + +def get_bit(x): + return (256 * (x & 7)) + (x >> 3) def main(): - init = 0 + init = 1 for i in range(20): - init = rand_step(init) + init = get_rand(init) print("{:08x}".format(init)) main() \ No newline at end of file diff --git a/xform b/xform index 904b2e4..dbba9f6 100644 Binary files a/xform and b/xform differ diff --git a/xform.c b/xform.c index df6bb47..82a931a 100644 --- a/xform.c +++ b/xform.c @@ -1,35 +1,61 @@ #include #include +#include -// bit 0 = input[1792] & (1 << 31) -// bit 1 = input[1792] & (1 << 30) -// bit 2 = input[1536] & (1 << 31) -// bit 3 = input[1536] & (1 << 30) -// bit 4 = input[1280] & (1 << 31) -// bit 5 = input[1280] & (1 << 30) -// bit 6 = input[1024] & (1 << 31) -// bit 7 = input[1024] & (1 << 30) -// bit 8 = input[768] & (1 << 31) -// bit 9 = input[768] & (1 << 30) -// bit 10 = input[512] & (1 << 31) -// bit 11 = input[512] & (1 << 30) -// bit 12 = input[256] & (1 << 31) -// bit 13 = input[256] & (1 << 30) -// bit 14 = input[0] & (1 << 31) -// bit 15 = input[0] & (1 << 30) +/* +bit 0 -> bit 7 +bit 1 -> bit 15 +bit 8192 -> bit 6 +bit 8193 -> bit 14 +bit 16384 -> bit 5 +bit 16385 -> Bit 13 +bit 24576 -> bit 4 +bit 24577 -> bit 12 +bit 32768 -> bit 3 +bit 32769 -> bit 11 +bit 40960 -> bit 2 +bit 40961 -> bit 10 +bit 49152 -> bit 1 +bit 49153 -> Bit 9 +bit 57344 -> bit 0 +bit 57345 -> bit 8 -uint32_t polynomial = 0x04C11DB7; -static uint32_t rand_step(uint32_t input) +bit 0 <- 0 +bit 1 <- 8192 +bit 2 <- 16384 +bit 3 <- 24576 +bit 4 <- 32768 +bit 5 <- 40960 +bit 6 <- 49152 +bit 7 <- 57344 +bit 8 <- 8193 +bit 9 <- 16385 +bit 10 <- 24577 +bit 11 <- 32769 +bit 12 <- 40961 +bit 13 <- 1281 +bit 14 <- 49153 +bit 15 <- 57345 +*/ + +uint32_t xorshift32(uint32_t x) { + /* Algorithm "xor" from p. 4 of Marsaglia, "Xorshift RNGs" */ + x = x ^ (x << 13); + x = x ^ (x >> 17); + x = x ^ (x << 5); + return x; +} + +uint32_t get_rand(uint32_t x) { + uint32_t out = 0; int i; - uint32_t output = input + 1; - for (i = 0; i < 32; i++) - { - if (output & 0x80000000) - output ^= polynomial; - output = output << 1; + for (i = 0; i < 32; i++) { + x = xorshift32(x); + if ((x & 1) == 1) + out = out | (1<< i); } - return output; + return out; } static uint8_t get_bit(uint32_t *field, int offset) @@ -69,9 +95,16 @@ static uint16_t reverse_u16(uint16_t nonreversed) return reversed; } +static uint32_t get_bit_offset(int bit) { + return (8192 * (bit & 7)) + (bit >> 3); +} + int main(int argc, char **argv) { int i; + for (i = 0; i < 32; i++) { + printf("bit offset %d: %d\n", i, get_bit_offset(i)); + } // uint32_t test_1[] = {1}; // uint32_t test_2[] = {0, 1}; // uint32_t test_3[] = {2, 0}; @@ -94,48 +127,58 @@ int main(int argc, char **argv) // printf("test_4: bit %d set\n", i); // } - uint32_t input[256]; - uint32_t output[256] = {}; + uint32_t input[2048] = {}; + uint32_t output[2048] = {}; - uint32_t init = 0; + uint32_t init = 1; for (i = 0; i < sizeof(input) / 4; i++) { - init = rand_step(init); + init = get_rand(init); input[i] = init; } // print_hex(input, sizeof(input), 0); // return; - uint16_t *o16 = (uint16_t *)output; - uint8_t *i8 = (uint8_t *)input; - for (i = 0; i < sizeof(input) / 2; i++) + for (i = 0; i < sizeof(input) * 8; i++) { - int j; - for (j = 0; j < 16; j++) - { - // printf("o16[%d] |= (!!(i8[1792+%d] & (1 << %d)) << 0;\n", i, i, 2*(15-j)+1); - o16[i] |= (!!(i8[1792+i] & (1 << 2*(15-j)+1))) << 0; - o16[i] |= (!!(i8[1792+i] & (1 << 2*(15-j)+0))) << 1; - o16[i] |= (!!(i8[1536+i] & (1 << 2*(15-j)+1))) << 2; - o16[i] |= (!!(i8[1536+i] & (1 << 2*(15-j)+0))) << 3; - o16[i] |= (!!(i8[1280+i] & (1 << 2*(15-j)+1))) << 4; - o16[i] |= (!!(i8[1280+i] & (1 << 2*(15-j)+0))) << 5; - o16[i] |= (!!(i8[1024+i] & (1 << 2*(15-j)+1))) << 6; - o16[i] |= (!!(i8[1024+i] & (1 << 2*(15-j)+0))) << 7; - o16[i] |= (!!(i8[768+i] & (1 << 2*(15-j)+1))) << 8; - o16[i] |= (!!(i8[768+i] & (1 << 2*(15-j)+0))) << 9; - o16[i] |= (!!(i8[512+i] & (1 << 2*(15-j)+1))) << 10; - o16[i] |= (!!(i8[512+i] & (1 << 2*(15-j)+0))) << 11; - o16[i] |= (!!(i8[256+i] & (1 << 2*(15-j)+1))) << 12; - o16[i] |= (!!(i8[256+i] & (1 << 2*(15-j)+0))) << 13; - o16[i] |= (!!(i8[0+i] & (1 << 2*(15-j)+1))) << 14; - o16[i] |= (!!(i8[0+i] & (1 << 2*(15-j)+0))) << 15; -// o16[0] = i -// if (get_bit(input, i * 16 + j)) -// set_bit(output, j * 256 + i); -// else -// clear_bit(output, j * 256 + i); - } + int bit; + assert(get_bit_offset(i) < sizeof(output) * 8); + bit = get_bit(input, get_bit_offset(i)); + // bit = get_bit(input, i); + // if (bit) + // printf("bit %d is set\n", i); + // int xform = ((i * 2048) + ((i * 2048) >> 16)) & 0xffff; + // printf("%d\n", xform); + // assert(xform < sizeof(output) * 8); + if (bit) + set_bit(output, i); + // set_bit(output, get_bit_offset(i)); +// int j; +// for (j = 0; j < 16; j++) +// { +// // printf("o16[%d] |= (!!(i8[1792+%d] & (1 << %d)) << 0;\n", i, i, 2*(15-j)+1); +// o16[i] |= (!!(i8[1792+i] & (1 << 2*(15-j)+1))) << 0; +// o16[i] |= (!!(i8[1792+i] & (1 << 2*(15-j)+0))) << 1; +// o16[i] |= (!!(i8[1536+i] & (1 << 2*(15-j)+1))) << 2; +// o16[i] |= (!!(i8[1536+i] & (1 << 2*(15-j)+0))) << 3; +// o16[i] |= (!!(i8[1280+i] & (1 << 2*(15-j)+1))) << 4; +// o16[i] |= (!!(i8[1280+i] & (1 << 2*(15-j)+0))) << 5; +// o16[i] |= (!!(i8[1024+i] & (1 << 2*(15-j)+1))) << 6; +// o16[i] |= (!!(i8[1024+i] & (1 << 2*(15-j)+0))) << 7; +// o16[i] |= (!!(i8[768+i] & (1 << 2*(15-j)+1))) << 8; +// o16[i] |= (!!(i8[768+i] & (1 << 2*(15-j)+0))) << 9; +// o16[i] |= (!!(i8[512+i] & (1 << 2*(15-j)+1))) << 10; +// o16[i] |= (!!(i8[512+i] & (1 << 2*(15-j)+0))) << 11; +// o16[i] |= (!!(i8[256+i] & (1 << 2*(15-j)+1))) << 12; +// o16[i] |= (!!(i8[256+i] & (1 << 2*(15-j)+0))) << 13; +// o16[i] |= (!!(i8[0+i] & (1 << 2*(15-j)+1))) << 14; +// o16[i] |= (!!(i8[0+i] & (1 << 2*(15-j)+0))) << 15; +// // o16[0] = i +// // if (get_bit(input, i * 16 + j)) +// // set_bit(output, j * 256 + i); +// // else +// // clear_bit(output, j * 256 + i); +// } } // uint8_t *o8 = (uint8_t *)output; @@ -145,9 +188,13 @@ int main(int argc, char **argv) // for (i = 0; i < sizeof(output)/4; i++) // o16[i] = reverse_u16(o16[i]); - print_hex(output, sizeof(output), 0); - // for (i = 0; i < 2047; i++) - // printf("00000000\n"); + // print_hex(output, sizeof(output), 0); + FILE *infile = fopen("infile.txt", "w"); + FILE *outfile = fopen("outfile.txt", "w"); + for (i = 0; i < sizeof(input)/4; i++) { + fprintf(infile, "%08x\n", input[i]); + fprintf(outfile, "%08x\n", output[i]); + } return 0; } \ No newline at end of file