From 7d2f99e8996e6037338799a5d88ab93a1c49e326 Mon Sep 17 00:00:00 2001 From: Sean Cross Date: Fri, 22 Feb 2019 12:28:32 +0800 Subject: [PATCH] xform: now works with "arbitrary" memory sizes Signed-off-by: Sean Cross --- memtest/.build/memtest.asc | 4552 +++++++++++++---------------------- memtest/.build/memtest.json | 1322 ++-------- memtest/mem.init | 1536 ------------ memtest/memtest.bin | Bin 104090 -> 104090 bytes memtest/memtest.v | 2 +- memtest/top.rpt | 1466 +++-------- output.txt | 1920 +++++++-------- xform | Bin 16720 -> 16832 bytes xform.c | 68 +- 9 files changed, 3316 insertions(+), 7550 deletions(-) diff --git a/memtest/.build/memtest.asc b/memtest/.build/memtest.asc index 4bfd3a2..8c9ab40 100644 --- a/memtest/.build/memtest.asc +++ b/memtest/.build/memtest.asc @@ -95,18 +95,18 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000001100 -000000000000001100 +000000000000000000 +000000000000000000 001000000000000000 000000000000000000 -000000000000000010 +000000000000000000 000100000000000000 000000000000000000 -000000000000000000 +010000000000000000 000000000000000100 000000000000000001 -000010000000000000 -000001110000000000 +000000000000000000 +000000000000000000 .io_tile 7 0 000000000000000000 @@ -170,7 +170,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000001000 000000000000000000 000000000000000000 000000000000000000 @@ -201,9 +201,9 @@ .io_tile 12 0 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 +000000110000000000 +000000001000000000 +000000000000001100 000000000000000000 000000000000000000 000000000000000000 @@ -221,6 +221,8 @@ 000000000000000000 000000000000000000 000000000000000000 +000000000000001100 +000000000000001100 000000000000000000 000000000000000000 000000000000000000 @@ -229,10 +231,8 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 +000010000000000000 +000001010000000000 .io_tile 14 0 000000000000000000 @@ -1949,7 +1949,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -2031,7 +2031,7 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000011100000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 .logic_tile 11 4 @@ -3902,7 +3902,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4286,25 +4286,23 @@ .ramb_tile 6 9 000000000000000000000000000000000000000000 -000000010000000111000000001011000000000000 -011000000000000011100011110000000000000000 -000000000000000111100111100101000000000000 -010000000000000001000010000000000000000000 -110000000000000001000110000101000000000000 -000000000000000000000010000000000000000000 -000000000000000000000000000011000000000000 -000000000000000001000000001000000000000000 -000000000000000000000010001101000000010000 -000000000000000001000000001000000001000000 -000000000000000000000000001001001100000000 -000000000000000000000000001000000000000000 -000000000000000000000000001001001000000000 -010000000000000000000000000000000001000000 -010000000000000000000000001101001000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 7 9 -000010000000000000000000000000000000000000000000000000 -000000001010100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4312,11 +4310,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4327,7 +4327,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4345,8 +4345,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4367,7 +4367,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4378,7 +4378,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4435,7 +4435,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4448,24 +4448,24 @@ .logic_tile 15 9 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000010100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 9 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4519,28 +4519,28 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 9 -000000000110100111000011101000000000000000 -000000010000010000100011110101000000000000 -011000000000000011100000000000000000000000 -000000000000000111100000001001000000000000 -110000000000000000000000010000000000000000 -010000000000000111000011101001000000000000 -000000000000000111000011100000000000000000 -000000000000000000000100001101000000000000 -000000001000000000000000011000000000000000 -000000000000000000000011011101000000000001 000000000000000000000000000000000000000000 -000000000000000000000000000001001010000000 -000000001010000000000010000000000001000000 -000000000000000000000000001111001000000000 -110000000000001011100000001000000001000000 -110000000000000111000000000111001000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 9 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4753,25 +4753,25 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 10 -000000010000000000000000000000000000000000 -000001010000000000000000000000000000000000 -111000010000000000000000000000000000000000 -000000010000001111000000000000000000000000 -110000000010000000000000000000000000000000 -010000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000 -000000000000000000000011000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 7 10 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4828,11 +4828,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4861,7 +4861,7 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 10 -000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4899,8 +4899,8 @@ .logic_tile 14 10 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000100001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4915,16 +4915,16 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 15 10 -000001000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4933,7 +4933,7 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 16 10 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4987,22 +4987,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 10 -000010110000000000000000000000000000000000 -000001010000000000000000000000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -110000000000010000000000000000000000000000 -010000000000100000000000000000000000000000 -000000000000000111000000000000000000000000 -000000000000000000000000000000000000000000 -000010100001010000000000010000000000000000 -000001000000100000000011010000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 10 000000000000000000000000000000000000000000000000000000 @@ -5013,8 +5013,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5209,42 +5209,42 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000011101000100100000000001 -000000000000000101000000000111001010010010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 6 11 -000000000000000001000000000000000000000000 -000000010000001001000010001001000000000000 -011000000000000000000000000000000000000000 -000000000000001111000000000101000000000000 -010000000000000000000010000000000000000000 -110000000000001001000011111011000000000000 -000100000000000001000000000000000000000000 -000000000000000001000000000001000000000000 -000000000000000001000000000000000000000000 -000000000000000000100010010001000000000000 -000000000000000000000000000000000001000000 -000000000000000000000000000011001110000000 -000000000000000000000000001000000001000000 -000000000000000000000000000101001000000000 -110000000000000111000000001000000000000000 -110000000000001001100000000101001011000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 7 11 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000001000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5263,8 +5263,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5295,11 +5295,11 @@ .logic_tile 10 11 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5314,10 +5314,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5366,10 +5366,6 @@ .logic_tile 14 11 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5379,24 +5375,28 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 15 11 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5411,9 +5411,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000001000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5441,36 +5441,36 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001001000000001111111101110011000010000000 -000000000000000101100000000101001110001100110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 19 11 -000000000110100111000011101000000000000000 -000000010000000000100011111101000000000000 -011000000000001111100000000000000000000000 -000000000000000011100011110001000000000000 -010000000111001000000111101000000000000000 -010000000110101111000000001001000000000000 -000000000000000111000011101000000000000000 -000000000000001111000100000101000000000000 -000001001000010000000000001000000000000000 -000010000000000000000000000101000000000000 000000000000000000000000000000000000000000 -000000000000000000000000001001001010000000 -000000000000000000000000001000000000000000 -000000000111000000000000001111001011000000 -010000000000001000000111101000000001000000 -010000000000001111000100000111001000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 11 000000000000000000000000000000000000000000000000000000 @@ -5689,22 +5689,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 12 -000000010001000000000000000000000000000000 -000000010000000000000000000000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -010000000000000000000011100000000000000000 -110000000000000000000100000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 7 12 000000000000000000000000000000000000000000000000000000 @@ -5731,7 +5731,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5761,22 +5761,22 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 10 12 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 11 12 000000000000000000000000000000000000000000000000000000 @@ -5835,23 +5835,23 @@ .logic_tile 14 12 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -011001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000010100000000001000000100100000000 -000000000000000000000100000000001010000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 15 12 -000001000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5899,8 +5899,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000010000111 -000000000000000000000000000000000000000000000001000101 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5920,25 +5920,25 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 19 12 -000000010000000000000000010000000000000000 -000000010000000000000011010000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000010100001010000000000000000000000000000 -000001000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 -110000000000000111000000000000000000000000 -110000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 12 000000000000000000000000000000000000000000000000000000 @@ -6157,40 +6157,40 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 13 -000000000000001111000000011000000000000000 -000000010000001111000010111001000000000000 -011000000000000000000111111000000000000000 -000000000000000000000010110101000000000000 -110000000000001000000110100000000000000000 -110000000000001101000100001001000000000000 -000100000000001111000011100000000000000000 -000100000000001101000000000101000000000000 -000000000000000001000000000000000000000001 -000000000000000000000000000001000000000000 -000000100000000000000000000000000001000000 -000001000000000000000000000011001110000000 -000000000000000000000010000000000001000000 -000000000000000000000100001101001011000000 -010000000000000000000000001000000000000000 -110000000000000001000000001001001000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 7 13 000000000000000000000000000000000000000000000000000000 -000001000010100000000000000000000000000000000000000000 -000010000001010000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100001010000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001001000100000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 13 000000000000000000000000000000000000000000000000000000 @@ -6203,8 +6203,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6213,20 +6213,20 @@ .logic_tile 9 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001110000000000000000000000000000000000000000 -000000000000110000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 10 13 000000000000000000000000000000000000000000000000000000 @@ -6249,20 +6249,20 @@ .logic_tile 11 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000001110010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000010100001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 13 000000000000000000000000000000000000000000000000000000 @@ -6285,11 +6285,6 @@ .logic_tile 13 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -011000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6297,56 +6292,61 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000110100111100000000000000100000000 -000000000000010000000000000000100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 14 13 -000000000000000000000000000011100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -000000000000000000000000000101000000000000001000000000 -000000000000001101000000000000100000000000000000000000 -000000000000000000000111100000001000001100111000100010 -000000000000000000000110100000001111110011000011000111 -000000000000000101000110100000001001001100111010000000 -000000000000000101100000000000001010110011000011000111 -000000000000000000000000000000001001001100111000100001 -000000000000000000000000000000001010110011000011000011 -000000000000000000000110100000001000001100111000100101 -000000000000000000000000000000001011110011000011000111 -000000000000000000000110100000001001001100111010100101 -000000000000000000000000000000001000110011000011000111 -000000000000000000000000000101101000001100111010100101 -000000000000000000000000000000100000110011000011000111 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 15 13 -000001000000000000000000000000011000001100110010100010 -000000000000000000000000000000011100110011000001000111 -011000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000011100000000000000000000100000000 -000000000000000000000100001101000000000010000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000011001000100100010100011 -000000000000000001000000000000011011000000000010000111 -000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001110000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 16 13 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6359,72 +6359,72 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 18 13 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000100001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 19 13 -000000000000000000000011100000000000000000 -000000010000000000000100001111000000000000 -011000000000000111100000001000000000000000 -000000000000000000100000000111000000000000 -110001000000000111100111111000000000000000 -010010000000000000100111111101000000000000 -000000000000001000000111101000000000000000 -000000000000000111000100000001000000000000 -000000000000000000000000010000000000001000 -000000000000000000000011001101000000000000 -000000001010000000000000000000000000000000 -000000000000000001000000001101001001000000 -000000000000000000000111100000000001000000 -000000000000000001000000000101001100000000 -110000000000000011100000001000000000000000 -110000000000001111000000000101001110000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -011010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000110000000 -000000001000000000000000000111000000000010000001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 21 13 000000000000000000000000000000000000000000000000000000 @@ -6625,22 +6625,22 @@ 000000010000000000000000000000000000000000000000000000 .ramt_tile 6 14 -000000010000000000000000010000000000000000 -000000010000000000000011110000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000010000000000000000000000000000000 -000000000001010000000000000000000000000000 -000000001110100000000000000000000000000000 -000000110000010000000000000000000000000100 -000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010001010000000000000000000000000000 -000000011000000000000000000000000000000000 -110000010000000001000000000000000000000000 -110000010000000000000000000000000000000000 .logic_tile 7 14 000000000000000000000000000000000000000000000000000000 @@ -6648,14 +6648,14 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000011110000000000000000000000000000000000000000000 -000000110000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6689,7 +6689,7 @@ 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010110000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6711,17 +6711,17 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000011000000000000000000000000000000000000000000000 -000000010001010000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 .logic_tile 11 14 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6757,7 +6757,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6769,22 +6769,22 @@ 000000010000000000000000000000000000000000000000000000 .logic_tile 14 14 -000000000000000000000000010000001000001100111000100000 -000000000000000000000010000000001011110011000011110111 -011000000000000000000000000000001001001100111000100011 -000000000000000101000010100000001111110011000011100111 -000000000000000001100110000011101000001100110000000000 -000000000000000000000000000000100000110011000001100011 -000001000000000000000000000000001100000100000100000000 -000010000000000000000000000000000000000000000000000000 -000000010000001000000000001000000000000000000100000000 -000000010000000001000000001001000000000010000000000000 -000000010000000000000110000001100000000000000100000000 -000000010000000000000000000000000000000001000000000000 -000000010000000000000000000000000000000000100100000000 -000000010000000000000000000000001010000000000000000000 -000000011010000000000000010000001000000100000100000000 -000000010000000000000010000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 .logic_tile 15 14 000000000000000000000000000000000000000000000000000000 @@ -6805,7 +6805,7 @@ 000000010000000000000000000000000000000000000000000000 .logic_tile 16 14 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6814,11 +6814,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010001000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000011000100000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6829,13 +6829,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000011110000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6844,13 +6844,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6859,40 +6859,40 @@ 000000010000000000000000000000000000000000000000000000 .ramt_tile 19 14 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -110000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000111100000000000000000000000 -000000000000000000100000000000000000000000 -000000010000000000000000010000000000000000 -000000010000000000000011100000000000000100 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -010000010000000000000000000000000000000000 -110000010000000000000000000000000000000000 .logic_tile 20 14 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 .logic_tile 21 14 000000000000000000000000000000000000000000000000000000 @@ -7093,48 +7093,48 @@ 000000010000000000000000000000000000000000000000000000 .ramb_tile 6 15 -000000000000000111000000001000000000000000 -000000010000001111100000001001000000000000 -011000000000000111000000001000000000000000 -000000000000000000100011110101000000000000 -010000000000000000000010001000000000000000 -110000000000000000000010011101000000000000 -000000000000000001000011100000000000000000 -000000000000001111100100001101000000000000 -000000010000000001000010001000000000000000 -000000010000000000100010000101000000000000 -000000010000000000000000000000000001000000 -000000010000000000000000000011001000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000001011001000000000 -110000010000000111000000000000000000000000 -110000010000000000000000001011001000000000 .logic_tile 7 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000011000000001111000000001101011010001100110000000000 -000000000000000111000000000101001010110011000010000000 -000000000000001011100000000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 -000000010001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010010100000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 .logic_tile 8 15 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7167,16 +7167,16 @@ .logic_tile 10 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000011000000000000000000000000000000000000000000000 -000000110000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7187,26 +7187,26 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000001010000000000000000000000000000000000000000 -000001010000000000000000000000000000000000000000000000 -000010010000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010001010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010001010000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 .logic_tile 12 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7221,16 +7221,16 @@ .logic_tile 13 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111000000000101011101010010000000000000 -000000000000000000000000000000101000001000010000000001 -000000000000000000000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000001111000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7240,53 +7240,53 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000100100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000001010010000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 -000000010001010000000000000000000000000000000000000000 -000010010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 .logic_tile 15 15 -000010101100000000000000000000000000000000000000000000 -000001000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001011100000000000000000000000000000000000000000000 -000000110000000000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010001000000000000000000000000000000000000000000 -000000010001000000000000000000000000000000000000000000 -000010010000100000000000000000000000000000000000000000 .logic_tile 16 15 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000001010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000001010000000000000000000000000000000000000000000000 -000000110000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7305,7 +7305,7 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000010010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 .logic_tile 18 15 @@ -7314,12 +7314,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000011010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7327,27 +7327,27 @@ 000000010000000000000000000000000000000000000000000000 .ramb_tile 19 15 -000000001000110111000011100000000000000000 -000000010000110000100011111101000000000000 -011001000001010111000000001000000000000000 -000000001000100000100011110001000000000000 -010000000001010111100011111000000000000000 -010010100001100000000111111001000000000000 -000000000000000000000111010000000000000000 -000000000000000000000111100001000000000000 -000001010001010000000000001000000000000000 -000010010000100000000000001101000000100000 -000000010000001000000000000000000001000000 -000000010000000111000000001001001011000000 -000001010000000000000000001000000000000000 -000010010000000000000000000111001010000000 -110000010000000001000000011000000000000000 -010000010000000000000011101111001011000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 .logic_tile 20 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7355,7 +7355,7 @@ 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010001000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7561,36 +7561,36 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 16 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -111000010000010000000000000000000000000000 -000000010000100000000000000000000000000000 -010000000000000000000111000000000000000000 -110000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -000000001100100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000010000000000000000000000000000 -000001001110100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -110010000000000111100000000000000000000000 -110001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 7 16 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7605,12 +7605,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7635,20 +7635,20 @@ .logic_tile 10 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000100001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 11 16 000000000000000000000000000000000000000000000000000000 @@ -7661,7 +7661,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7681,7 +7681,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7696,7 +7696,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7707,50 +7707,50 @@ .logic_tile 14 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000010000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 15 16 -000001000000100000000000000000000000000000000000000000 -000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001001100100000000000000000000000000000000000000000 -000010100001010000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 16 -000000000110100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7770,7 +7770,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7779,8 +7779,8 @@ .logic_tile 18 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7795,36 +7795,36 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 16 -000000010000010000000000010000000000000000 -000000010000100000000011100000000000000000 -111000010000000000000000000000000000000000 -000001010010100000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000010000 -000000000001001000000000000000000000000000 -000000001010000111000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 -110000100001000000000000000000000000000000 -010000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8007,62 +8007,62 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 17 000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000101000000000000000100000000 +000000000000000111000000000000000000000001000010000000 +000010000001010000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000011001000000100000000000000 +000000000000000000000000000000110000000001000010000011 .ramb_tile 6 17 000000000000000000000000000000000000000000 -000000010000000000000000000011000000000000 -011000000000000000000111111000000000000000 -000000000000000000000111101101000000000000 -010000000000000111000010000000000000000000 -110000000000000111000110001011000000000000 -000000000000000001000000000000000000000000 -000000000000000000000010001101000000000000 -000001000000000001000000001000000000000000 -000000100000000001000010000101000000000000 -000000000000000000000000000000000000000000 -000000000000001111000000000011001111000000 -000000000000100000000000001000000000000000 -000000000001010000000010001001001010000000 -110000000000000000000000001000000000000000 -010000000000000000000000001001001010000000 +000000010000000000000000001101000000000000 +001000000000000000000000000000000000001000 +000000000000000000000011111101000000000000 +110000000000001000000111101000000000000000 +110000000000000111000110001001000000000000 +000010000000011101100110110000000000000000 +000001000110101111100011010101000000010000 +000000000001000000000000000000000000000000 +000000000000000001000000000011000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000000101000000100000 +000000000000001000000111101000000000000000 +000000000000000101000000001101000000000000 +010010100000000000000000000000000001000000 +110001001100000000000000000111001011100000 .logic_tile 7 17 -000000000000100000000110001101111111110011000000000000 -000000000000000000000011110011101000001100110000000000 -011010000000000000000111101101000000000000110000000000 -000000000000000111000000000011101010000011000000000000 -000000000000001000000111100000000000000000000000000000 -000000000000000001000100000000000000000000000000000000 -000000000000011000000111110011001001001100110000000000 -000000000000000101000111110111011010110011000000000000 -000000000000000000000000001001101000001100110100000000 -000000000000000001000000001101111110110011000001000000 -000010100000010101100000000000000000000000000000000000 -000001000000001101100000000000000000000000000000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000100001001000000000000000000000000000000000000 +000000000001000000000000001000000001001100110010000000 +000000000000000000000000001101001100110011000001000100 +001000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000001010000000110000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000011100000100000110000000 +000000000000001111000011100000010000000000000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000001010000000000000000000000000010000001000000 +000001000000100000000000000000000000000000000011000100 .logic_tile 8 17 000000000000000000000000000000000000000000000000000000 @@ -8071,58 +8071,58 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 9 17 +000000000000000000000000000000001110000100000100000000 +000000000000000000000000000000010000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000001000000000000000000010011100000000000000100000000 +000010000000000000000010100000100000000001000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000010000000000000000000000000000000000000100100000000 +000001100000000000000000000000001101000000000000000000 .logic_tile 10 17 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001111100000000001000000000000001000000000 +000000000000000101100000000000000000000000000000001000 +000000000000000101100000000111100000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000101000000000000001000001100111010000000 +000000000000000000100000000000001000110011000000000100 +000000000000000000000110100101101000001100111000000000 +000000000000001101000010110000100000110011000001000001 +000000000000000000000000000101101000001100111000000100 +000000000000000000000000000000000000110011000011000100 +000000000000000000000000000000001001001100111000000100 +000010100001000000000000000000001011110011000011000100 +000000000000000000000000000001101000001100111000000100 +000000000000000000000000000000000000110011000001000100 +000000000000000000000111100101001000001100111000000100 +000000000000000000000100000000000000110011000011000100 .logic_tile 11 17 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8137,7 +8137,6 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 17 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8149,7 +8148,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8176,7 +8176,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8187,12 +8186,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 15 17 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8218,11 +8218,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8245,58 +8245,58 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 18 17 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 -000000101010000000000000000000000000000000000000000000 -000001001110000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000010100001010000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 19 17 -000000000000000111100000011000000000000000 -000000110000000000100011111101000000000000 -011000000000001111100111101000000000000000 -000001000000001111100100000101000000000000 -110000000000000000000000001000000000000000 -110000000000001111000000000001000000000000 -000000000000000111100010001000000000000000 -000000000000000001000000000001000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000101000000000000 -000000000000001000000000001000000000000000 -000000000000001111000010001001001010000000 -000000000000000000000111100000000001000000 -000000000000000000000100001001001101000000 -110000000000000000000000000000000001000000 -010000000000000000000010000001001111000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 17 -000000000000001000000110000000000000000000000000000000 -000000000000010011000011110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000001001001000100100000000000 -000000000100000101000000000000011010100001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000001000001110000100100010000000 -000000000000001101000000000111001001010010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 21 17 000000000000000000000000000000000000000000000000000000 @@ -8481,8 +8481,8 @@ .logic_tile 5 18 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8497,22 +8497,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 18 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -010000000000000000000110100000000000000000 -110001000000000000000100000000000000000000 -000000000000000101100000000000000000000000 -000000000000000000100000000000000000000000 +000000000000000000000000010000000000000000 +000000010000000000000011110000000000000000 +011000000000000000000000000000000000000000 +100000010000000000000000000000000000000000 +010000000000000000000000000000000000000000 +010001000000000000000000000000000000000000 000000000001000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000001010100000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -010010100000000000000000000000000000000000 -110001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +110010100000000000000000000000000000000100 +010001000000000000000000000000000000000000 .logic_tile 7 18 000000000000000000000000000000000000000000000000000000 @@ -8526,11 +8526,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000011000001 -000000000000000000000000000000000000000000000010100111 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 18 000000000000000000000000000000000000000000000000000000 @@ -8561,7 +8561,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8569,20 +8569,20 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 10 18 +000000000000000000000000000000001000001100110000000000 +000000000000000000000000000000001011110011000010110100 +001000000000000000000000000000011010000100000100000000 +000000000000000101000000000000010000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000101000010100011000000000000000100000000 +000010000000000000000000000000000000000001000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000000001000000000001000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8653,8 +8653,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8698,7 +8698,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8716,27 +8716,21 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 19 18 -000000010000000000000000010000000000000000 -000000010000000000000011110000000000000000 -111000010000001000000000000000000000000000 -000000010000001111000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -8745,8 +8739,14 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 18 000000000000000000000000000000000000000000000000000000 @@ -8756,15 +8756,15 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 21 18 000000000000000000000000000000000000000000000000000000 @@ -8947,58 +8947,58 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 5 19 +000000000000000001100110000000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +000000000000000000000000001011101100110011000000000000 +000000000000000000000010010011011000001100110001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000110101011001001001100110000000001 +000000000000000000000010001001111110110011000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 19 -000000000000000111100000000000000000000000 -000000010000000111000000000101000000000000 -011000000000000000000111001000000000000000 -000000000000000000000100001001000000000000 -110000000000000111000011100000000000000000 -110000000000000000000011101101000000000000 -000000000000000111000110100000000000000000 -000000000000000111000100001111000000000000 -000000000000000000000010001000000000000010 +000000000000000111100011101000000000000000 +000000010000000000000011111001000000000000 +001000000000000111000000000000000000000000 +000000000000000000100011110001000000000000 +110000000000000000000010000000000000000000 +110000000000001111000100001001000000000000 +000000000000000001000011100000000000000000 000000000000000000000000000101000000000000 -000010100000000000000000001000000000000000 -000001000000000111000000000101001000000000 -000000000000000000000010001000000000000000 -000000000000000000000010001101001000000000 -110010000000000000000000000000000000000000 -010001000000000000000000001011001011000000 +000000000000000000000000000000000000000000 +000000000000000000000000001101000000000000 +000000000000000011100000000000000000000000 +000000000000000000000000001001000000000000 +000000000000000000000111001000000000000000 +000000000000000000000000001101000000000000 +010000000000000000000000001000000001000010 +110000000000000000000000000011001000000000 .logic_tile 7 19 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 +000001000000000101100000000000000000000000000000000000 000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100011000001000000110000000000 +000000000000000000000000000111101110000011000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +000000100001001001100000000111000001000000110000000001 +000001000000100001100000000001101110000011000000000000 .logic_tile 8 19 000000000000000000000000000000000000000000000000000000 @@ -9007,10 +9007,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9039,29 +9039,29 @@ .logic_tile 10 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 .logic_tile 11 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9077,7 +9077,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9115,7 +9115,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9123,17 +9122,18 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 15 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9153,7 +9153,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9165,8 +9165,8 @@ .logic_tile 17 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9183,38 +9183,38 @@ .logic_tile 18 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000001000000000111101111001100110000100000 -000000000000100101100000001101001110110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 19 19 -000000000000000011100000001000000000000000 -000000010000000111000000001001000000000000 -011000000000000111000000011000000000000000 -000000000000000000000011010101000000000000 -110000000000000000000000001000000000000000 -010000000000000000000010000101000000000000 -000000000000001011100111000000000000000000 -000000000000001011100111100001000000000000 -000000000000000000000000011000000000000000 -000000000000000000000011111101000000000001 -000000000000000000000000001000000000000000 -000000000000000001000000001101001110000000 -000000000000001000000000001000000000000000 -000000000000000011000000000111001000000000 -110000000000000001000000000000000001000000 -010000000000000000000000001101001000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 19 000000000000000000000000000000000000000000000000000000 @@ -9231,8 +9231,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 21 19 000000000000000000000000000000000000000000000000000000 @@ -9433,49 +9433,49 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 20 -000000010000000000000000010000000000000000 -000000010000000000000011100000000000000000 -111000010001010000000000000000000000000000 -000000010000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000001 +000000011010000000000000000000000000000000 +011000000000000000000000000000000000000000 +100000011010000111000000000000000000000000 +110000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000001100100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100001111000000000000000000000000 +000001000000000000000000000000000000000000 +000010000000010000000000000000000000000000 +000001001100100000000000000000000000000000 +000000000000000000000111000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -110010100001010000000000000000000000000000 -010001000110100000000000000000000000000000 +010010000000000000000000000000000000000000 +010001000000000000000000000000000000000000 .logic_tile 7 20 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 8 20 -000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9508,24 +9508,24 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000010100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000100100000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 11 20 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9541,7 +9541,7 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 20 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9583,7 +9583,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9591,7 +9590,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 15 20 @@ -9613,7 +9613,7 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 16 20 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9657,8 +9657,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9667,26 +9667,25 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 20 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -111000010000010000000000000000000000000000 -000000011010000000000000000000000000000000 -010000000000000000000111100000000000000000 -110000000110000000000100000000000000000000 -000000100001010000000000000000000000000000 -000001000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000 -000000000000000111000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -110010100000000000000000000000000000000000 -010000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 20 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9700,7 +9699,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 21 20 000000000000000000000000000000000000000000000000000000 @@ -9876,74 +9876,70 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 5 21 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +001000000000000011100000011011011111001100110000000000 +000000000000000000000011100011101000110011000000000000 +000000000000000000000111011111101100000011000000000000 +000000000000000000000010001101100000001100000000000000 +000000000000000111100110010000000000000000000000000000 +000000000000000000000111110000000000000000000000000000 +000000000000000001100010000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000001001101110011000000000000 +000000000000000000000000001101011101001100110000000000 +000000000000000101000000011001111010001100110100000001 +000000000000000000000010001101101011110011000000000000 .ramb_tile 6 21 -000000000000000000000000000000000000000000 -000000010000000000000011100011000000000000 -011000000000000111000110110000000000000000 -000000000000000111000111100101000000000000 -110000000000000000000000000000000000000000 -110000000000000001000000001011000000000000 +000000001100000000000000001000000000000000 +000000010000000111000010010101000000000000 +001000100000001011100111100000000000000010 +000001000000000011000000000011000000000000 +010000000000000001000010000000000000000000 +010000000000000000000000000101000000000000 000000000000000001000000000000000000000000 -000000000000000000000010000111000000000000 +000000000000000111000000001011000000001000 +000000000000000001000010000000000000000000 +000000000000000000000000001101000000000000 000000000000000000000000001000000000000000 -000000000000000001000010000101000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000001101001111000000 -000000000000000000000011101000000000000000 -000000000000000011000110001001001001000000 -010000000000000000000000001000000001000000 -010000000000000000000000001001001000000000 +000000000000000000000000001001000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000 +010000000001010000000000001000000001000000 +010000000000000000000000000001001010000000 .logic_tile 7 21 -000000000000000011100010000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000001000000000000001101110110011000000100000 -000000000000000101000000000001001001001100110000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000001000000110101011000001000000110000000000 +000000000000000111000000000101101100000011000001000000 +000000000000000000000111010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000001100111001101111111110011000000000000 +000000000000000000000000001011111001001100110000000000 +000000000000000101000011100000000000000000000000000000 000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000010100111001110001100110000000000 +000000000000000000000100001101011101110011000001000000 .logic_tile 8 21 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9953,16 +9949,20 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 21 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10010,10 +10010,10 @@ .logic_tile 12 21 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10066,7 +10066,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10082,14 +10082,14 @@ .logic_tile 16 21 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10117,40 +10117,40 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 18 21 -000001000000100000000000000000000000000000000000000000 -000010100001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 21 -000000000000001111100000001000000000000000 -000000010000001111100000001101000000000000 -011010000000001000000111101000000000000000 -000000000000001111000000000101000000000000 -110000000000001000000000010000000000000000 -010000000000000011000011110001000000000000 -000000000000000111100010001000000000000000 -000000000000000001000000001001000000000000 -000000000000000000000000011000000000000000 -000000000000000000000011110101000000000001 000000000000000000000000000000000000000000 -000000000000000000000000001101001010000000 -000000000000000001000000001000000000000000 -000000000000000000000000000001001110000000 -110000000000000111100000000000000001000000 -110000000000000000000010000001001111000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 21 000000000000000000000000000000000000000000000000000000 @@ -10159,11 +10159,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10351,8 +10351,6 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 5 22 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10367,24 +10365,26 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 .ramt_tile 6 22 -000000010000000000010000000000000000000000 -000000010000000000000000000000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -010000000000000000000011100000000000000000 -110000000000000000000000000000000000000000 -000000000001010111100000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000001 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000 +000000010000000000000011110000000000000000 +011000000000000000000000000000000000000000 +100000010000000000000000000000000000000000 010000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 +010001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +110000000000000011100000000000000000000000 +110000000000000000100000000000000000000000 .logic_tile 7 22 000000000000000000000000000000000000000000000000000000 @@ -10424,16 +10424,16 @@ .logic_tile 9 22 000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10448,15 +10448,15 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .logic_tile 11 22 000000000000000000000000000000000000000000000000000000 @@ -10477,8 +10477,8 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 22 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10501,12 +10501,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10520,7 +10520,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10569,12 +10569,12 @@ .logic_tile 17 22 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10591,34 +10591,34 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 22 -000000010000000000000000010000000000000000 -000000010000000000000011110000000000000000 -111000010000001000000000000000000000000000 -000000010000001111000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000100 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 22 000000000000000000000000000000000000000000000000000000 @@ -10629,8 +10629,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000010100001 +000000000000000000000000000000000000000000000001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10837,22 +10837,22 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 23 -000001000000000011100000000000000000000000 -000000110000000111100000000001000000000000 -011000000000000000000011101000000000000000 -000000000000000111000000001001000000000000 -110000000000000011000010001000000000000000 -110000000000000011000011101101000000000000 -000000000000000000000111001000000000000000 -000000000000000000000110000111000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000001000000000001 +000000000000000000000011100000000000000000 +000000010000000000000011100101000000000000 +001000000000001111000000001000000000000010 +000000000000001011000000000101000000000000 +110000000000000111000000000000000000000000 +110000000000000111000000001001000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000101001000000000 -000000000000000000000010000000000000000000 -000000000000000000000000001101001010000000 -110000000000001111000000001000000000000000 -110000000000001101100000001101001011000000 +000000000000000111000000000101000000000001 +000000000000000000000010001000000000000000 +000000000000000000000000000111000000000000 +000000000000001000000000000000000000000000 +000000000100001111000000001001000000000100 +000000000000000000000111001000000000000000 +000000000000000000000100000001000000000000 +110000000000000001000000000000000001000000 +010000000000000000000000001011001000000100 .logic_tile 7 23 000000000000000000000000000000000000000000000000000000 @@ -10863,13 +10863,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000101000110000000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +000000000000000000000000000111000000000000110000000000 +000000000000000000000000000011101101000011000000100000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 8 23 @@ -10879,10 +10879,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10915,7 +10915,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10955,8 +10955,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10984,17 +10984,17 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11024,7 +11024,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11041,8 +11041,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11067,26 +11067,26 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 23 -000000000000000111000011001000000000000000 -000000010000000000000011000001000000000000 -011000000000001000000000011000000000000000 -000000000000001011000011010101000000000000 -010000000000000000000010001000000000000000 -110000000000000111000100001001000000000000 -000000000000000001000111000000000000000000 -000000000000000111100100000101000000000000 -000000000000000000000010001000000000100000 -000000000000000000000000001101000000000000 000000000000000000000000000000000000000000 -000000000000000000000000001001001110000000 -000000000000001000000000001000000000000000 -000000000000000011000000001111001001000000 -010000000000000000000010000000000000000000 -110000000000000000000000001011001000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 23 000000000000000000000000000000000000000000000000000000 @@ -11305,22 +11305,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 24 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -010000000000000000000111000000000000000000 +011000000000000000000000000000000000000000 +100000010000000000000000000000000000000000 +010000000000000000000111100000000000000000 110000000000000000000100000000000000000000 +000000000000000011100000000000000000000000 +000000000000000000100000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000001 -000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 +000000000000000000000000000000000000000100 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -110000000000000011100000000000000000000000 -110000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +110000000000000000000000000000000000000001 .logic_tile 7 24 000000000000000000000000000000000000000000000000000000 @@ -11370,7 +11370,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11379,7 +11379,7 @@ .logic_tile 10 24 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11442,7 +11442,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11513,8 +11513,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11539,22 +11539,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 24 -000000010000000000000000010000000000000000 -000000010000000000000011010000000000000000 -111000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000100 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -110000000000000001000000000000000000000000 -110000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 20 24 000000000000000000000000000000000000000000000000000000 @@ -11586,7 +11586,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11752,7 +11752,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 25 000000000000000000000000000000000000000000000000000000 @@ -12226,7 +12226,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12243,8 +12243,8 @@ .ramt_tile 6 26 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -12316,7 +12316,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12471,8 +12471,8 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000110000000000000000000000000000000000000000000000 +000001010000000000000000000000000000000000000000000000 .ramt_tile 19 26 000000000000000000000000000000000000000000 @@ -12705,8 +12705,8 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 .ramb_tile 6 27 000000000000000000000000000000000000000000 @@ -12720,7 +12720,7 @@ 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000000010100000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 @@ -12778,13 +12778,13 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010001000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 .logic_tile 10 27 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12849,8 +12849,8 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000100000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 .logic_tile 14 27 000000000000000000000000000000000000000000000000000000 @@ -12918,10 +12918,10 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010001010000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 .logic_tile 18 27 @@ -12936,7 +12936,7 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010110000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -13188,7 +13188,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -13385,7 +13385,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -13619,7 +13619,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -14109,7 +14109,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 30 @@ -14119,8 +14119,8 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -14331,8 +14331,8 @@ .logic_tile 18 30 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -14531,11 +14531,11 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000000010010 -000000000000110000 +000000000000100010 +000000000000010000 000000000000000100 -000011110000000000 000000000000000000 +000001011000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14549,26 +14549,26 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000000100010 -000000000000110000 +000010000000010010 +000011110000010000 000000000000000100 000000000000000000 000000000000000000 000000000000000000 -000000111000000000 -000000001000000000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 .io_tile 6 31 +000010000000000010 +000111110000000000 +000000000001100000 +000000000000000001 000000000000000010 -000100000000000000 -000000000000000000 -000001110000000001 -000000000000000010 -000000000000110000 +000000000000010000 000000000000000100 000000000000000000 000000000000000000 @@ -14636,7 +14636,7 @@ .io_tile 10 31 000000000000000000 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14675,12 +14675,12 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000100 -000000000000001000 000000000000000000 000000000000000000 -000010000000000000 -000001010000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14771,8 +14771,8 @@ 000000000000000000 000000000000000000 000000000000000000 -000000111000000000 -000000001000000000 +000001110000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14782,18 +14782,18 @@ 000000000000000010 000100000000000000 000000000000000000 -000000000000011001 -000000000000110010 -000000000000110000 -001000111000000100 -000000000000000000 +000000000000000001 +000001111000110010 +000000000000010000 +001000000000000100 +000000000000010000 000000000000000000 000000000000000000 000000000000010010 -000000000000110000 -000000000000000100 +000000000000010000 +000001111000000100 000000000000000001 -000000111000000010 +000000000000000010 000000000000000000 .io_tile 19 31 @@ -14801,16 +14801,16 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000000110010 -000000000000010000 +000000000000010010 +000000000000110000 000000000000000100 +000011010000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000001010000000000 -000000001000000000 000000000000000000 000000000000000000 @@ -14905,615 +14905,260 @@ 000000000000000000 .ram_data 6 23 -d8095d955a83fb8c897804a795e06eb32dc8c3ce9ad1ceb096fa2df7e2c2ffb7 -222e082812e81b765301c262e8844898e72739e323b774675c856187085712f1 -25c9f913f7b0979e33184a6cbd13fe45896de9461dfabdb997e94e56eb3b56e0 -31c65f553881e54e3795e0e7f96a972f742507c6026675a8ebc463b8ca33e490 -2956a882b6399391c631a4eb5e1073a8606437f8114c2b2a5fcad3885fa72ea0 -279b36b04dabda85be9e5fe8532d23de7782ae74ea538e22bccfeb68501c971a -542ee25c93b1537ca8321f65a4a1a77815f5dd03f19ee517ead9498190e0f9e9 -1b43a087c6a223c6a90b6724c711797c8ed4f147410febc18205d0249e9ab923 -abf53b45cc639ce40a5ee4608787992c6a9acb38754cc9fb24a7c4c3fb1e2171 -d79b631fcd3fc139479621a2a1e9307bd30522c2aa6734b08acfc94f1a58fe43 -9cae2d0178fc1d0f6b74ed7f06e35c909e55856a23c2195539eb489a800215b2 -ad791101ca5833d835fd95470ce9ea8a6942a709e5af1b9b8db8a42e5de51b52 -fd520df82026474d80c46dc03ff0cb8b2a5f593c1feccf888cf33bec645e5195 -32c76dc01338e8b46fd660426efb187ca0c0c797f718cb2ad477169f46bd5f8f -89501cc463ac9d4f4485ded589784548b495aed0b945e22c4976bcce03c6f8af -811752dce857d199419fcbc5b85c9550469602a22e8a36ab02b39b3313ec2914 - -.ram_data 6 11 -ac6974a4d9c5cdab23f2285509561388aa20f1b9377913134e909fa8dfec0951 -167095528564206c7d305bde15e66f2ce79b941cbbf3cb507c5af2044d33b5f2 -b91beace7780d7cee1255a34968772bde29f8a1fb7cb2057db63d3df59f15f4f -eea42da830c01dacfa151bdcba09c1fda44ae707bbd49f89ba26a8025f1ea9f2 -e6133cc0673ff31ba6415b23d4c231a7624dca6ebd8ca947f62f3752fca6b97e -64ca975aa41da215f62d9759eed1df731e24df61f2f6c0c8b6ada10029866024 -2b6a7e85471a94fa7c12a782198377eb2aa987cffbc2325bf797b3eb2d822267 -c9ba8734bbb89809653edd064e2bd30a53cf255705cb5198ed0a1ea7f94edb7c -6de987f270904be311c0dc47849a959e329fb40855be9c331d2338fa9eae8696 -ee4ec43f330d9522cdc6f8ba9b8c833534753533572cef658fce9ee74acdfff0 -7a21a71f9664c91d54bb60e33649c9ccfdc81bd2ce8f2ae05c1fdc0f14393291 -d3f9be6ff6f47422197ca01cfb723e906e3f48f83c763864875a0a8d81995c70 -b7e21d980677da1eeaa8cf6d82c0c03cc91c6b9b75e678ebbc9dd962c69fcb95 -a0b68d8c4066a47fc5b537344fb29069807d22ffbfbe1f6c84090cfb75c9ae23 -80e7269d6fbafe663283f7232528eeee496b6fddd8a83ec0b0ed7a23058b3d8b -dff83a24f69aae75d7d04558d7e5944733115b8c5a79d47cdbd92d518f73f31d - -.ram_data 19 13 -c5c116a1253df48010ff8188b39360cd7ccb0bc8fc1321c107bde3330f89997b -69b891b511c07e2c791eab5d4b77dc1704138fa0180464076462e2cac5751331 -a76707ccb6d9b00e7c48b8b7bc2e50f37dc509b50fb7bfe1456a9da13c98df83 -277bf79a8c825702d45601c364d8ab19be3fe663eb5ca54157bb57bb4dfe178f -24d92986978ffb01bf0b45ab38c903ad962d83e4552f6f5494cd133ef538ab63 -c9c82bad1acd1914784e33ec7fba871f98442a541d2aedae8f69c00e192b4143 -6aa04bdd7231554509a5fe27109c19e40cbef97ce37dc7b941c79e058836d5ad -f9e785a994c3dd0b2567d228cd80d6542b9acd1f11d5c5f054d5c32e8c8a6b6c -b8b0ad5393fb7e23f13f3dfa7e2be07ffdb697f6aceefdf3cc2b626681c8f9d5 -d4d4c973f6ced5beed8871cd11818f2a46f38bbd1df9f57933233f093eb4d32e -c3dda53ce86388e0cccfaf736dfef0ea5f069165969fe9f34f61c7f49339c62a -eec6f6879940b75307f01bc79318569479355ca84335e53627959ab565edf5e8 -7ebe4b7eeeba008a46f2aa05952165e18f876ec534c88cdd9e4a134c399b1e22 -22d0c7fc6bd212e43ae16df42a092ee1b7e67890dc554a62fbcec9a9393eafd7 -891b42bde8b6e01b5ced30a3f9e6a94b22fe1dfd75ed12480b4e18ca237609cc -c2cb5dc17d44ff3e63f0cd3e2b13e510a642aeb7222f96655a0b3d020bb46ed8 - -.ram_data 6 19 -9061ef137774ac1b031146163bc3489c355c265e5f3937c11a7868528184d9c3 -bf02b35d3a25d73c0848d4606570129faf045983f23587ca201870e2cb7e997b -bc19130212a8a7a93df1be4faaa9b98fdad5b3d40adf2c977647f6d505c686fd -702a2a3cf492f3491898ae31ed010dc2bafaa3709722021e252ecbd646ab3f2f -997f738abc6b44c7dc39535d198b3f8adbb314e37fc97129513b940ec9444bef -3d1dd17237f31df1537f39f24e75d38e277e0f58991969f40cd44eb23280b8fe -3d2cbf8f7e4099c6f7801f97f8c4e135cb9d76b637e86ec040866f86b3aa971e -530fa0892885adb8be7df8ef9b604ac92c0b2d0bae438867a1b730c5e2b8dc35 -4cbf2c2ef3360d772059708e3749b78df393e6a13467c08100aa7ec19848d3ea -92c35f7d0b3261bf4f54f21d39e05fffa4d287517be611fd6d6296223feb283d -0826107868cdb6c48ebea40971fab6e8d66538e702387caa29cf1c29fc28cc60 -e416ef79dbd00af63e9dccdc0b85edad1abb97cd61ccb96820c77d3829bbda90 -75523674efcbfc93ee514fd8a03f8efe6007e2045b1c66d9d9adc909acf7fcaa -9494fe0e925ee2ca3e6103234e77825f8aed98ee7fd728ec396292cd174d4849 -46a05a07130603b300dcbc664f1f42bc6e95af167d25925c176e7de5740c26a3 -bf93e2d1d17516f07fa023369ce16d830605219ce0257c920543a5b1bebe8eb2 - -.ram_data 19 15 -0d8838dc1c6d4565a3fd89c86dca4c0881c542562ce366ede099bb79a7258f14 -f933ef3c54880014b9d3f08a54878aa1f67e44a33c4ebfeeff72382d81776a16 -30c302a7395fbc8551d8ff0639580ee0b20c85f97ca623160e5875b940a164fa -a58f8edbbac4822017e2ac9d1cdf50ef1bbdcd3c8a3b178c53e735a777ef187c -ceffd3190d52941a96dd77d4cf4fd0595835e0bd8c8cc69ace7379b691da0740 -6caa220fd0449259f8d2f7b87fdeca98c5504434f8a43bcd851c9e6d0a80b4c8 -f2b3182d4d117131de11f25904d11a2e9f4991d8afb30ecf867b5188a12366a9 -dbd1c1b026fc54f946031419d907a6c5dbb60c950b56cab210ae7ad0878be8cd -7595ffd237aca9129f1015cd2d3e94d45e21c2151aceb3b13c35907cee7a0aa5 -022d6b0785eda05f1fcdc5521e4de0b5bced6b5fbd55ac8e91c00904736fc1ee -34d3e940177b40891a8eaee7f3249d5a896cf17d3f971606fa9801219738d930 -e8d766189ba5bc5d00a66a82ace14bd3a01b78745638f51c642e58258094c361 -9c1a7169bec22095f9b5aed3570a56972b34c08a9064976ef0c7e67da343213d -50897b3c5b7f56c172fab1e6aac5c6dffe9b6b395114f73e58c30fdb4e229cdc -0d9e741168ca4b860f5460a879a4ab44d85d8bb8fd957ae53201e5df1e6d00f4 -4738d716d9d0bcd90b1d5448c960d5adc2643171d5b53903ba9e5fb2ffe31dda - -.ram_data 19 17 -82513d8d3946e77292c203daabb3eb460aea8a6127680f25aa2ff8cc12c30c63 -96ab382165acb1b7ae61af6f0ed48f3a71b2c419541943559a6e59fdfb9f3e93 -004826701901e57b97e16044389e56f57e139ea444629186e96762ca9124f70e -7034fb869b3288706dfc0a040d4e7a165009b736e84b1720b402c82b281baf35 -3456dc144f2cb2c8b8a57baba9d9c7c2b249f119c53b29e345674a8281f0da76 -05408d26eeecc7c810ad7ec26b6072bd665882e469032cbe6e95780d182463ef -6093202c8d4807052c17cbd388b9d9b19f0130d43381f480495e374b7d803c08 -fcc2ed823ca348e41ee82415ad96e11f8710600bb5410a22dd084540f9f479f8 -5e28df5affb67b32e44b136b35f0f859ee455810d7a5448f231825a5bfb1188c -df78ccd3a4e38fb49e847cb8c87498e3244dfb9142a437d2ce7ab5df0192d356 -cdeaf4f6597634e7ffc81a01297c2238e0d4af745e4041e48f36379e31bbdb5a -6aae55a9b931ee6c1919dc8d1ece4d14c04736c2b9c536d96e45361d1ba735c9 -295ce8f6c14c1187e71790c9ac3c30caef188b261fd41abd29f928d2d9298d16 -c0060073dcae762531e3c4648f96ca2f571ee424b420dec52b952a722d22e6fb -8ae5e9a5dbb09d32f83d8ade31128900c3c2a365aa3961625d8fec8439524f7d -63a5ddef85e3ca72fa1c2a9ada9c58673244e6355295a0d315cf12f8d511abbf +dc113405af5b427c5ce49a13956438cbb8840b5e12d9e8f8328a42c70ccaab5f +bf8a1e600848cf0e274dfbeac26071c070ffbe0758ff11c38621fd878b734569 +7075a7a79ccc96eec25c188819b783995db53bb694f6263524fdf9fa015fc3f8 +f386ad4d70013b46989dcec33096cf5f6d21adfe08ca1ed42aac5c2c47eb8c60 +39b66702bbf914fdbe9973f3b728cd7cb1909aac0844237e01bee4ec852f5e68 +652f87e8f917aa99e49a9edcc19de0fed98ee6d80fab3a0ace93164c9e60d90e +58e2069833ed522c9522b2ed2b71d6fc289588f75de65fb7b2f941f5946084b5 +626f95c308cad71e3067fb6c94bdf9e4d7f8fa77f477474d22694ab0a96a16c7 +215d22ed7d034ff0734acd305d2f876494ba970cb364cc373973d82389aeaba5 +a9afb93f49d74365f56ec12609c5f1d3da1dec7a0ecb6bd06deb0fb7e89897cb +7b9284f58180ab57f93c41372e9bd940b34969e6bdbee785693738326512d196 +0ed568b59188782c664598070de19c8ae3b6ca0d9427830f02e4d2920455420e +afe6cd44e6b214adbb802ad4c68c590fb84b3cf4386c4c3c6613216c99b26bd5 +332b7344a72c6430b05e67c295ebc2108610abbfa7ec08ce5513043bb859a6bf +42340190f8ecd8a7c3b156f9a644a8a4537189d89805c5886216e0b2bf7e9c73 +0ac7f5887ea3e19559c7c64dcbd0cc34b0da4cca7d9a890b64db965f95fcb2d4 .ram_data 6 21 -08253d8377e15c600a45c21b8b955db221ec769477c4ee1750b132d541d0a8a9 -2b842fbb948dbcfb9276622e1dabde2ae7d67970713a8371a9b326641c77b302 -78f847d1933cc622262dcf551840edde459e0886c0016930162e30d045169a1f -74cfa809e57fd9b5ee0e0d31316bad12ee3e00143aab621cdd0b7d932a618d28 -8af7171de656cf9797dcb33b7c7b1ba3dcb387e17196aeab0bbffe1c1cae14f5 -c79e601482e3b15399dbcefa52d2a3708ee7d9b6634bdc91de804abf76583c5c -537db0ccc87a9ae011fd62ab2f21873eb50d938b65561827d84b951dd4f72d88 -f5ca76539bbbcf9dd9e7bb5d089b3227acc7c166fb367527046727876512878e -c4d802408395e7b19a62cb161680c28abe9cc2cf96c1b4f49cb5d34c13d6c4d4 -b9b78005841af6cc5f8899348472ca3dc7fee526569b6976f44f4835c869475c -3dff4e6e7b3178733db82489c34fe7809b56187de2a0569afbdee73ee87cc598 -7cee98f21482df95a85fe90d51f417bd1677ec0691063048f820c1449adf2b26 -70311ecbd86b0c724a4f01ddae853804431c3a3bdb8062661d7bca83820712ec -45022765f947f4c86825bec86de8af443b772156a6285e81a3c054928b856403 -a891fb8b1d170bcc5ed0ed817301725e31956c1511d072e8c16bf5c3abdd9b3d -8ee77318012aa25bb1a7fb30846d42e44345209a1df930a53d0011aa4bc6b705 - -.ram_data 19 19 -db0c2acb2dfaa6e2d8591c4cc5450d8105342301536f065f8a06ca76199c84a3 -913e193ace47ac490859abe9a25e8c0f8e5c00c6664b5851d650456235a10b71 -f0f2ab3510f9f96237b62eba40d8ab95bc1b6be2fe5d6e4992e241d3da657946 -becc0e033aa36eb9b0b515cac9a202a9f900f301846d32804c12a03e66fd2578 -a7305ada0478f0a0f92be30f2304383167e482d18d8b7c80cd478c92fc1d98e9 -ebaf9d7dd9259119a9c98915db88e6f3829e8be49b0729782d164a77122c6ee7 -e6a31a074149b0f9cc4be30924c82f474e483c62de26d025e02ca3f60e6413ad -ab19d94adcd065f9b72960bb3bfae1b0cf2b3bb2acd0becbeb7bc2a348ee1dcb -512351f8970213a0c686766ebd463c6c9b69b943f36824f290611b3f67fc7d3c -06778989b2b2e450afa03b016755edec1438d43f30c4c296eff6ae04e3b857d6 -fa7d5f9cd9180871f5c5b074d885c1b0c696d98030f88ebc6575e119a5987efa -6f2a61fb9a41eb2bb247f9769cecee6182ccbf9c2e5fa5417f5ae5b6c7a7067a -d37411b7a337b192c92765016a7aee08e17176963aa2143a6e6c1765b47a663d -942e1752384cd62cdc3a4d27c7412396114a2988018193d56a692eafb0514eaa -77e5e6a1fa46068db261fc4f5685d43dd3ef73111a7b8b9b5e8a144164e8c063 -d6b52f79b2b4b453868b0c498ebd98a7178b960235cb9abcda9e04a4cce15aed - -.ram_data 19 11 -ff2c5dc45fe3ac2a3f1cd2d2a9953a8c72800693887e22883c4e1006c3d8e28f -1f5b33aa367cdb5b2dcb0e3f3c52205944e8d7725a10e4bdd966ab05c2d319e5 -ec5cdd3bc3b4d942247e4acca6dd0c7f93adb25765702116690ccaad68017c9b -64377369907cf61bfe545f86e89ca70bd3955bed92089fda9616e7e4552fef0c -52d581976afb1574079ea81f71bbfb3598ed3e7e7684307fc0b17dd49135afae -299135eba62d42bec9f473f2b01928d0b2ed995e3bcb52babf6a41729b8646e9 -9630adc6f867c802090154ca0633910aaea89a2ce331f743d4c2d84d95380d74 -305ec1593aacd1ef1080b6cf8da4e2b13177923ef5a08d63f87e1682de6d4d82 -8471a83e3b65a7e37c3723f52b35756761c0e1f3f4ef2f50ba5d1ea06e05ae57 -421512fd7a4d68174d9dd8f13e41f82946568730c7ca4a872779af9b3edc3103 -2a93e5b470292ea73af96451572626e53c3f1225630515931a95aa48c1255421 -039e9aa8707d9a6c354ef2b0d3c9af04d467ea566d2404a3d0ae3862edec4cb6 -2b8b9fa1c9aec1c8166b9e7635a6528906d467487e0447a4fd32e08dc6e92a87 -38077893fd2b312c988841dbc979407a6da6b24379ef8e50d56175289ad4b502 -b8167011c2dcb6609cb3f58e2d02e2687cdfd24d6a341981cc7a78c053af0fd8 -924a65a9cf4ad8dda281499e86abcb94e8dcf3e0dbed4e31357cc5ae45a90c76 +c248637ebdc6052cb58977adc80a3b9cea2449b784273181920909b0089cd2f9 +012b817f53f0eeb9a83bb7ba583a9cbf758fb414f6c617d8cdcf18028d209dfc +9c5b6d7899a6553c7f7362420f51fdb739fd75c78cedc25f6c4359fa312347ec +19435ee5290a4f955bb4fb3055a943e41b7034e8365e05fab58a9887545633d8 +bd89beaa85aa4eb8c28a265e2bfd876f455ef9fc140272f97446196088e53ed4 +9dbde601e7b030fc1c9d0b23fdd994cf17c3fb80ee5b85afc6eedef66c763759 +07b57dba2ae426c2d63e174ab9d5a75cff7fd13e0077e14753361109f0b2d1ba +79e4de95300a9d352a10909670a5f86399bc18fe320d23d893dd4b97b4981366 +3cc941044e775f35371349f8a24336c938eb7c710c037c27dae242c824b87023 +7c51bf4e57e974a10c10e4a472223ffbd8c5e09000235f6887e7abd05139d093 +98f43bf8de3b592865cc99d37d7eda636453e2a7f23cfac7cc5f3d4abb28b82d +98e07f59803ebb10e83dd33e52515ca84daa23192e20e29427858819bac1bebf +496734619b87a00d4d0bc35db57967d093baad969fc753e8830e75c5d3c693be +8e33279ff6435158cafc7abd781a168a15cbb22bb667d3e5f69c15d93120f8b5 +977385c786da3734c8faab4aa6315731c45de90cc19441ce5961a11f48f02dca +c95f282e0a4a2b27cf83e7e20ffd5893b913e26e7552d9dfae1b8f107d3c8df3 .ram_data 6 17 -dba5881722d6b1b0ed5992998ee19c670a53544484e5d72e9c7b9d6490c42ea2 -6a9be8cda840e7202c92279b33947dcbddfb96ebf519a4c9f6dc4ba7968081ec -c143a2daf675a6e95794c4697c41da3491b540f30ec1783e04b69f3ba02c6ba8 -73b7bae695bf94afda350fb0dc8b2f5061da464ef4ec58f5d036c9f5a16c56ac -2c57e5fa36dbe0f572ff4d53bef33b202e6b868d5438b48ea078efba26a8914d -8daaa6402f6f7ef2ff960e01e7d85b5a3be5fb32f09cfc7fdf244ca9b621eb4d -f5d95c303c0725e12a318efb3c7a472d9cfef2e421759db9d6afd1ec7241a69c -3d9ee6212874e329ac6f83efca197b7b574d1367ef5721c0280e1dc8ca1e5ced -801e1c62495ff1886ddbc3c0518546dc6a6d6e85a213a398e80733e59e94d246 -d2b272cfd914d11ca77b9314b8f88b77371deb167c50e1b60d6ed4d857320e35 -ddf3528472d0aae22bdb65bc0c7a2b090a1fd9a6b653131e3d5224af69fe5f99 -2850ad9a4e7989f7350eee311058c205db26ab949a15aad8a8644f69d0f439d4 -c21ad7f4cb669c11c6d7ac1e7bbc09391a672cdff09395c8ad5ec46efabdbd16 -ac1781ebb63ec58e4ac335063afd97e8e6a80a9ee686f81c7975bc2002ef6a22 -d5a484a853375ba57fb4f51986261ab2a109bec46a5db75451d6077eca8f8a75 -b8f0337f19770fea5df593d057e02f18aaf389dc2daf56887d2e5e7d1a81be27 +1550f923c5a430c5d3e1a445ffeb015c43adacc7d394d5b58dd22f1f4d7577f8 +e467d5509412c8e606910dd24fa0b3f4c3de7cf89053e3d502802a1ce57d7ddd +1fe5ec24891fd2d05093b300923a73349536c5a3bfd13d55b64095fa60139f62 +2f368ed922a0de01028d973150176d98ab3ccba9ec80a5c06feaefe2b6b2ffcf +7156e69e3fc03d311f78d7f471c1ed87f9373c0bd7b15c3671552edb44b9ff34 +549d6d50f94b5452f22c6cc19e52efbee056c8e1566146dd0dbea25487e827bc +4814fd328978653ec5fcfb0600ce545fd257a4dbcd938d0827c2e985e2c7e556 +f79115a5135747ed976638b1c46698513e6c7755b5533414717a1e2c820e1cfe +304f271aef0c7b2017c626bcdb4bd371f6cb9e35320d173c23b79ab004d1e523 +b0e3d745ead576c5c44895e44558fefe2b23dd9fe54f55726f49adbef88f1ed1 +2dfc041d137280a1a3281f8866a982699e4935298b9427237dcc1cd40d070ac5 +2a88db40c493af319cae0f19dc2c58bfb59fd0044dd846fe3d6449e3753d84f6 +6aca8e8cfa73328c9abac9db3508a5ab3f21891dc06291725a245cf8377e2a93 +08eeac81aefda85099baf48eb9c3b9059ea820c0f1430a1b6ec39552d6781f61 +87cab967e231f3cc015723bbf6ed87b09a79e50155d688b3ee0052400eddb435 +fbf8955854d78efdcccde6311f7974409a684b721bd529207b835688ac5ba82a -.ram_data 6 13 -1a7cc79271263b802e09bf19625247344114d11f11f3fc3162d9585843e0624d -f673ff4fb0cc7a99ca3f36aea27e1bb3a7d71d34756a6e18076fc8da84c82bd4 -b64306042dfadfa0608b90f2788d19971799494bcb3957977c83da1ed41367ec -b00b95edfa9e5dd17588a0e406557d28f890a6ccb78242b6def27183d512ca60 -722926666acafe34e28ac37677a9b3dbe75627b4dcee26cd5d4e6044e105e5ec -47315cc5b09433507b15349732cdf75314dbd4e046875b5fab8eb5d211264a61 -89f9ca12bdb0281a0bc6eeb281f91be05b8f276e85bbed73e97a2215f0fe7a6a -cd0065194a2e71f9b47c45eab57518e73f58b7dadb05fe3cb709f5a77e947102 -aa7d21587d33c9b970773efc80db46ed4e6f98559cd3a97b44faee40ee10c4fb -54b12fba865d5409806421d0d02232db3581188ca86f068c996b74d066add04f -75bc866c9edffeac6b88b85bcfee8c43500f11ebbf2095472fcbeece46fc4ff5 -7800d6ad8f6a6ca44315276a3845e6349aa29a99bcd081bce1d96a357455dbdb -412f4009113f57cdbed75f417635a00c429a7dba911ba64873be7d21296eff7e -5cd7bf5fe03f8248175467d5760a1aaeea87027b1ddbc1ddaba40e89dc186515 -a8b3a1ffda46d9b0c65a1ace5889a4f9b3fd8fa03588a79e2cd17f4f84bc56ee -8fbf77ce6aee113f94c3781e37217c6fc01323b220c67b4bce43b87caf4464eb +.ram_data 6 19 +c194b7506d61a0e519ad0be45df656e044a5d89afcc3bbd9a1b1a90d44bd313c +6cb76f1cb14013701a97daf2a7833ae98e6a2413b6121dde87ae685153f7deba +2003dd8b1c576a71e9d4ad0e0024d69836b8e2859122946eaa7870a596416be2 +8307b1ebb7e895081a4e6581676396a34a1dd0e41b3b88cc272f2737993f44d0 +cb2bad0d0ac60f827c19fffcc35f1fe1c3919d5176700b7a7f7b28a6124611ac +fe42506b5b8057c957125aaccacebc98ab84c680ff70412d6894bb198808b0f0 +bd3bb001524518d53539dbf90d11fe5a85dd2f04a6df130b036be5f48347d409 +d62d6b640f385d01d78b3d11e3674b79f3cee6310e5eb7aaf342bf48264f7e41 +708548eeedeccde6aa3caef568460a10d8997b09c9da203d11c1fc50ceda4009 +b7c9513fa23508c3cc8dd406d20949310e1136df0b85a6e2e3a48174c16fece6 +9763ca244d6f133154ca019b1f4c4c86ae0441c5088f8a4a5ee85ee5407ca4e4 +e2a37258925dfd81b352651a04a19d4f8b33c2a0b6585094e0926491fbf0ae5d +c1427b25f34a62717bf5551ba90e802b5cccabe2acc02a9e8753db292b5f9865 +2621ed3c072f8099217a7806d4e9ecbb6feb460d5804d99e9cd3b2af1d6aa3b0 +d1969f51a7c29c6e981c35a09d641a4cf5e1d1dcb495f869a77917070d693dd0 +9bdcdc7e86743ba1b78d1ca091843835fd88a29575958137a47a068e1e5f9df6 -.ram_data 6 9 -6d0849bfdfc00836ce2882668830423d7c72e62ff07e5a847c20c66f02b55b53 -7ccac17797ebfeafcc531e57dc377673166cece5ab246fc5abd844d448c69f23 -b93985d3461674438d175dd0ad318ea30b4bb370c35ab1e5ad70db6fc43e474a -55084b0aa058621dc2c750b726e687461993a3c2d98567e79ee0b24c7d053f95 -6e48ea0a82ee5af732ecbdc9a72bf53abd8dc3cb3848af17d15c091ee35a83cd -7e37d0782e53ef830e81a49ea34117a80794be784121827697221fe7cd3bd995 -9eaf5e437f0a3bacc497b1a08f0991957d1f94738d23b90c9c87d0305edf12ff -372a36c97c3898b70049a2310a46b112de936f2b34989badbb518201aef5555e -a7189820c9bf44d70cd5e753c51c67f4533ef92f3c609086db9ed7bc9aaf5092 -f975e5b84bb626ea3079a612fc72f77b67ac4ae1e80ee55617e22ba92087f20d -6fb72b47206fb0227b807b01791b955aa13d9a82f05bb334d15579801c3240d6 -db62d1859063e8d518f72ccbbdb96a9e1a9aa8fd304abeb1aa004445ef002253 -31b2410e5aac64d0f410ad6d15a7e7ed4ef7b6ad1758a3c2c050f7107bc0660b -c0c3f2599190cd7d2beff28ff07932186338387ebdfe935aa621fb5db086beef -f1d33f685bfdd45b17e351d01cf7746f118d6ce08eb5fb3ca186d0799f23c314 -e15d00d6d504d466ea6c3f06f3cbb2413c11bdbe89851361089df0cd08fbab1f - -.ram_data 6 15 -9026fc4727fda1bdf5816123120cc21376727e15e5c8b47108bec6750e807c22 -d00d34f9fc63db22ea80f93b725c47c061ed55c43d2a79bf639152547ba97759 -17305f4dbea909b58b9f7cbedb4f6bcd325d35316b107cf293fc025dcc1151a7 -4e3d1fa23e055f83b26366834d899cdfb627511421c2cd51dd4d5a0c2dd888a7 -d305474621014ffaac4a59caf643f51fa96522b16518ac7ef5d0163d421ce342 -1b6175bedd0f98b8c31c2a2fcb6525c4e9ff2e5793ee7d61a5ce0b962b08a1ff -951b2b852a8af5f6f94229eb423d452f533f48cda838882d7c77b8d574b4143d -ae757bf23cb8d73d469ee0f430e04ec4964532b8bc2a786fd8d3e25be9282853 -7b04fcf17f9a9b3bbd5b7e55c0a6b1e292c073c023406bdc9ab2783ec138984c -6f84a3d73dc731105bc0a9300056afc386e8f894007437b345af9ee3bca56888 -06f072db7b02c2216d994ec53311c6fe7190f0ae18fe5aa69b8cdf05ba7bda04 -e2481f5354a04a6bc240241fa46e2780db0980138706582a49836e7462228f82 -ea05413c06bb84e48ff11ce3691de55ffcf30b859703fcbfb8eb1de550a7cc4b -67f6a163d94a48adda3aa6faeed0edd22925b05635caf89765eef1ad10d1aeb8 -0d97f55911aa656ff60cd6d3d5fa0db7291c7e25b46deca9be318481aa409711 -cac9eac4da42deafbf6b49ff47b732b4a6cdb0cafd7d0af9bf266fdfd3a19b91 - -.ram_data 19 21 -7b0c117a321f8c34c617f187dff86c83dfd66d18c6fce8fbea1930084404e38d -28800e4cc1a947728e2a66c7d1f12639493b16db97678c61c78820a91e7026dd -d2759d0a7e2de149fddb19226494a34bccd7619bae00d3f85d563591c8e75da4 -481d9d1dc85ec11c4925f717c1455d08707db043545b6ca4025782214d956827 -aa432ab74200edb44bd81866d4aa2694add00f8b4ca14da309171089f2b4e87f -0e65a3e6276ac017e4fd04cc3fff127de499df6b733206e843545391551a00b3 -0cb0b9ff3076c8f27f8130c102efee5ecf776bd9b460777695a93cf5bff70f51 -b17f333da813ce0190260e89752bdbd75271c06d6c2b4c1edaa965203293d011 -68378950019c9d4198f755b40e1a043308df153750a461470ba53150d866c157 -f107ae5319514d63008813d6f13714ff7b51431a3434e9bda2c4ca4e1d216799 -0ef160eb1b8d3985213e8a1d59f7a7c1e9963bc6a3ab7f4f23b01c8b96c3f6a7 -5669f9bb467882c30ff6778dd12d9d1949ac84fa6c5ecb7e740a52acc627620f -9db480f3c201325ab5644ff85a332152ce61528a02c111f152fcc50f8bed7acd -16342086474329254fc825a3f1ff5c7db83d322772a7b359f7971c1d98033b0b -5a49024c3e44703bd734a2dada2ee54d6f007346274ced004101521ad140743a -535cbcdec87c8caecf14ef06840c45ad12972f02d567b7898a6a921885b39abc - -.ram_data 19 9 -d4a05877f5b42569e80d0d85d217dfb0fb1d950761dce92d044a0b9bed19fa84 -951faca8bcb6c546bc855c52b4604558575eb67044b76db984a40794035d4331 -05dde104178b6c48f8bfb8a8a2e2296085c27ce3cc8555015c7412826c0f409e -51bafa1da850d4b18ff5f43d119f4e2c9fc00e61a8f814507a929812a4d2af0b -9996432240d0dca93ed4c57840a18d1f2183e2e7302d1936515196971ab1a940 -e3adc04c36b39036ef5884e1dcee23eeb17ea819ec21cb7d873ad568f230ef40 -3d7cb4a28ab4cfce4ffc9dc2f776d38725f3daf3ac77ca240c6a15ed59877da2 -6c459ddd89378b394db6e0359182dccddf40ad99e89bad00ba1aa33cd3723b82 -c77f8ed6438464d08db2cbc0d243cce1762f51619bc1e730012bc488d8653857 -3cc379116df911d932dcc51c0a68bbae288bf7576fdf503e06811b1acbb31809 -6f941b75d4b654ad82705a50ba7996d9fa6d1a1dade804bb2b943900bd9391e1 -726cd0942473ecd96b7a0a45675c6777af0b1d0c8228979e8d88f4db2725013e -56a20bc47037a380e2c2723f9628f23300e52b35d446c02a49d892f05bfe4c4f -6f7e94bdd399a088ea8a4f0ac07ffd951a501400605b62d33ccfc0daf60430ad -526a8543b475837879ffdedfaf5d583c02fd08dda15ee84320c47864a7a1b119 -061862d0c19f136187b5c4cd320598001ae4d4f2c5e110a064fb2e10767b8ed2 - -.ram_data 19 23 -25c11c72069abb104bfac110cffed1f94e6ee730b29d0aafa3d97c5119e317e7 -3582551118c5739a7ee1e705d68e9fd367d9e75b4dd18b618ac4fc3d39d78bad -8f1e14aa94f503f19239ec90848be82fc453ba567ff125259930272bdc4d4d22 -7037abc5d6b620d856cc64dbb0b50245fc2b8a9696dc13a06f26e35e32b36f88 -11098e1dbfb4244bf9f35f5bf7d066448027e4e866afe0df01e56bd902afece7 -ee55295d09986d4d3c66a38c4d899e0b75111b1a95d6ff997627fd11dfb28263 -5041242f557354470f07b88c2f3c55554161e7753ec17450eb509aa4df384991 -5251d6da8dd17f5645462a93c9fee10d3536bdb53599ccfdad97841e48383faf -1db4c8ade440485623c49e8bc9c8fd136b644487746828f7ae839ec70fb56876 -a70e05f0e77db384d1408736459163c76cfa3275b1e481d7cd046e3f46248391 -932fb45509873696580ebef8727a1142658cc076a6e9acc2479c534d4400ff9c -86b8056c721170b79e9e40e980aa9a17362d8424c789833f59d283d604833b53 -7b40b210c123faf4d22303e56c48661e6cd24c51419a09db5c027bcf4d47bad1 -8fa66e94cb8791490acb56c0cf10283cba6ed73cf5804c89b7fc2515f5975102 -0bd4898eb8ab7f44fdf5223373262a1f2d872074b38de2972cb4b5181fa1547b -f7934dd9cb7daf5f0f447c03796fcd705d9e4d33f7e518623ae44a500909307e - -.sym 9 clk -.sym 11 $PACKER_GND_NET_$glb_clk -.sym 14107 $0\memadr[10:0][2] -.sym 14108 $0\memadr[10:0][9] -.sym 16709 $0\memadr[10:0][7] -.sym 17081 $PACKER_VCC_NET -.sym 17349 led_r -.sym 17446 $0\memadr[10:0][1] -.sym 17692 $0\memadr[10:0][0] -.sym 17837 led_r -.sym 18334 led_r -.sym 18818 led_r -.sym 20051 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 20167 $abc$408$n42 -.sym 20304 $PACKER_VCC_NET -.sym 20426 $PACKER_VCC_NET -.sym 20539 $0\memadr[10:0][6] -.sym 21041 $PACKER_VCC_NET -.sym 21042 led_r -.sym 21155 $PACKER_VCC_NET -.sym 21294 $PACKER_VCC_NET -.sym 21410 $PACKER_VCC_NET -.sym 21532 $PACKER_VCC_NET -.sym 21780 $PACKER_VCC_NET -.sym 22410 $PACKER_GND_NET +.sym 5 clk +.sym 10 $PACKER_GND_NET_$glb_clk +.sym 15031 led_r +.sym 17452 $abc$358$n48 +.sym 17953 $PACKER_VCC_NET +.sym 20902 memadr[0] +.sym 20907 $0\memadr[10:0][1] +.sym 21032 $PACKER_VCC_NET +.sym 21038 $PACKER_VCC_NET +.sym 21040 $0\memadr[10:0][1] +.sym 21147 $abc$358$n48 +.sym 21150 $abc$358$n43_1 +.sym 21172 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 21174 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 21393 $abc$358$n45_1 +.sym 21394 $abc$358$n44 +.sym 21398 $abc$358$n46 +.sym 21399 led_r +.sym 21527 $abc$358$n49_1 +.sym 21530 $abc$358$n47_1 +.sym 21532 $abc$358$n40 +.sym 21796 $PACKER_GND_NET +.sym 22277 $PACKER_GND_NET .sym 22667 led_r -.sym 22682 led_r +.sym 22685 led_r .sym 22848 clki -.sym 22906 $PACKER_GND_NET -.sym 23649 $0\memadr[10:0][8] -.sym 23652 $0\memadr[10:0][9] -.sym 23656 $PACKER_VCC_NET -.sym 23751 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 23874 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 23887 $0\memadr[10:0][1] -.sym 23897 $PACKER_GND_NET -.sym 23997 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 24019 $abc$408$n42 -.sym 24046 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 24054 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 24062 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 24097 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 24099 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 24100 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 24120 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 24145 $0\memadr[10:0][8] -.sym 24147 $PACKER_VCC_NET -.sym 24149 $PACKER_VCC_NET -.sym 24150 $PACKER_VCC_NET -.sym 24151 $0\memadr[10:0][9] -.sym 24243 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 24366 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 24370 $0\memadr[10:0][1] -.sym 24371 $0\memadr[10:0][5] -.sym 24378 $0\memadr[10:0][0] -.sym 24381 $0\memadr[10:0][3] -.sym 24388 $PACKER_GND_NET -.sym 24489 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 24506 $PACKER_GND_NET -.sym 24513 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 24516 $abc$408$n42 -.sym 24612 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 24634 $PACKER_VCC_NET -.sym 24636 $0\memadr[10:0][8] -.sym 24637 $0\memadr[10:0][9] -.sym 24641 $PACKER_VCC_NET -.sym 24735 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 24858 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 24868 $0\memadr[10:0][0] -.sym 24871 $0\memadr[10:0][1] -.sym 24881 $0\memadr[10:0][6] -.sym 24882 $0\memadr[10:0][9] -.sym 24883 $0\memadr[10:0][7] -.sym 24884 $0\memadr[10:0][8] -.sym 24885 $0\memadr[10:0][5] -.sym 24886 $0\memadr[10:0][2] -.sym 24887 $0\memadr[10:0][3] -.sym 24981 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 24985 $PACKER_GND_NET -.sym 24997 $PACKER_VCC_NET -.sym 25104 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 25130 $0\memadr[10:0][9] -.sym 25132 $PACKER_VCC_NET -.sym 25133 $0\memadr[10:0][8] -.sym 25227 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 25248 clki -.sym 25254 $PACKER_GND_NET -.sym 25255 $0\memadr[10:0][10] -.sym 25350 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 25363 $0\memadr[10:0][1] -.sym 25373 $0\memadr[10:0][5] -.sym 25374 $0\memadr[10:0][7] -.sym 25376 $0\memadr[10:0][8] -.sym 25378 $0\memadr[10:0][3] -.sym 25380 $PACKER_GND_NET -.sym 25381 $0\memadr[10:0][6] -.sym 25473 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 25481 $PACKER_VCC_NET -.sym 25596 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 25620 $PACKER_VCC_NET -.sym 25868 $PACKER_GND_NET -.sym 26099 $PACKER_GND_NET -.sym 26360 $PACKER_GND_NET +.sym 24518 $PACKER_VCC_NET +.sym 24634 $0\memadr[10:0][8] +.sym 24635 $0\memadr[10:0][1] +.sym 24732 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 24734 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 24736 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 24738 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 24766 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 24774 memadr[1] +.sym 24780 $0\memadr[10:0][0] +.sym 24790 memadr[0] +.sym 24818 $0\memadr[10:0][0] +.sym 24848 memadr[0] +.sym 24850 memadr[1] +.sym 24852 clk +.sym 24855 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 24857 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 24859 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 24861 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 24869 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 24870 memadr[1] +.sym 24871 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 24872 memadr[0] +.sym 24874 $0\memadr[10:0][7] +.sym 24876 $0\memadr[10:0][0] +.sym 24877 $0\memadr[10:0][2] +.sym 24878 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 24885 $0\memadr[10:0][2] +.sym 24889 $0\memadr[10:0][1] +.sym 24978 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 24980 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 24982 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 24984 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 24998 $PACKER_GND_NET +.sym 25001 $abc$358$n43_1 +.sym 25003 $PACKER_VCC_NET +.sym 25005 $0\memadr[10:0][0] +.sym 25006 $PACKER_VCC_NET +.sym 25008 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 25009 $PACKER_VCC_NET +.sym 25011 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 25012 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 25019 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 25021 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 25024 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 25036 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 25037 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 25038 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 25039 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 25047 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 25057 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 25058 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 25059 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 25060 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 25075 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 25076 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 25077 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 25078 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 25101 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 25103 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 25105 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 25107 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 25126 $PACKER_GND_NET +.sym 25128 $0\memadr[10:0][1] +.sym 25132 $0\memadr[10:0][8] +.sym 25224 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 25226 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 25228 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 25230 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 25253 led_r +.sym 25258 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 25265 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 25269 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 25270 $abc$358$n40 +.sym 25274 $abc$358$n44 +.sym 25275 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 25277 $abc$358$n49_1 +.sym 25278 $abc$358$n47_1 +.sym 25279 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 25281 $abc$358$n45_1 +.sym 25282 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 25283 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 25285 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 25286 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 25293 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 25294 $abc$358$n46 +.sym 25303 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 25304 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 25305 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 25306 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 25309 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 25310 $abc$358$n46 +.sym 25312 $abc$358$n45_1 +.sym 25333 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 25334 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 25335 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 25336 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 25339 $abc$358$n47_1 +.sym 25340 $abc$358$n40 +.sym 25341 $abc$358$n44 +.sym 25342 $abc$358$n49_1 +.sym 25344 clk +.sym 25347 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 25349 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 25351 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 25353 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 25362 $0\memadr[10:0][2] +.sym 25370 $0\memadr[10:0][1] +.sym 25372 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 25374 $0\memadr[10:0][4] +.sym 25375 $0\memadr[10:0][7] +.sym 25376 $0\memadr[10:0][5] +.sym 25377 $0\memadr[10:0][2] +.sym 25378 $0\memadr[10:0][6] +.sym 25379 $0\memadr[10:0][8] +.sym 25381 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 25470 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 25472 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 25474 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 25476 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 25487 $PACKER_GND_NET +.sym 25490 $PACKER_GND_NET +.sym 25494 $PACKER_VCC_NET +.sym 25503 $PACKER_VCC_NET +.sym 25593 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 25595 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 25597 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 25599 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 25735 $PACKER_GND_NET +.sym 25745 led_r +.sym 26111 $PACKER_GND_NET +.sym 26237 led_r +.sym 26493 $PACKER_GND_NET .sym 26498 $PACKER_GND_NET -.sym 26518 $PACKER_GND_NET -.sym 26527 $PACKER_GND_NET -.sym 26551 $PACKER_GND_NET -.sym 26574 clki -.sym 27015 $PACKER_GND_NET -.sym 27229 $0\memadr[10:0][5] -.sym 27230 $0\memadr[10:0][6] -.sym 27232 $0\memadr[10:0][0] -.sym 27235 $0\memadr[10:0][3] -.sym 27237 $0\memadr[10:0][4] -.sym 27240 $0\memadr[10:0][7] -.sym 27332 $0\memadr[10:0][10] -.sym 27435 $PACKER_VCC_NET -.sym 27437 $0\memadr[10:0][1] -.sym 27438 $0\memadr[10:0][0] -.sym 27449 $0\memadr[10:0][9] -.sym 27453 $PACKER_VCC_NET -.sym 27454 $0\memadr[10:0][8] -.sym 27455 $0\memadr[10:0][1] -.sym 27456 $0\memadr[10:0][2] -.sym 27457 $0\memadr[10:0][6] -.sym 27458 $0\memadr[10:0][5] -.sym 27459 $0\memadr[10:0][0] -.sym 27460 $PACKER_VCC_NET -.sym 27464 $0\memadr[10:0][3] -.sym 27466 $0\memadr[10:0][4] -.sym 27467 $0\memadr[10:0][7] -.sym 27470 $0\memadr[10:0][10] -.sym 27497 $0\memadr[10:0][0] -.sym 27498 $0\memadr[10:0][1] -.sym 27499 $0\memadr[10:0][10] -.sym 27500 $0\memadr[10:0][2] -.sym 27501 $0\memadr[10:0][3] -.sym 27502 $0\memadr[10:0][4] -.sym 27503 $0\memadr[10:0][5] -.sym 27504 $0\memadr[10:0][6] -.sym 27505 $0\memadr[10:0][7] -.sym 27506 $0\memadr[10:0][8] -.sym 27507 $0\memadr[10:0][9] -.sym 27508 clk -.sym 27509 $PACKER_VCC_NET -.sym 27510 $PACKER_VCC_NET -.sym 27532 $0\memadr[10:0][2] -.sym 27555 $PACKER_VCC_NET -.sym 27569 $PACKER_GND_NET -.sym 27610 $PACKER_GND_NET_$glb_clk -.sym 27611 $PACKER_GND_NET -.sym 27620 $PACKER_VCC_NET -.sym 27625 $0\memadr[10:0][8] -.sym 27631 $PACKER_VCC_NET -.sym 27632 $0\memadr[10:0][9] -.sym 27637 $0\memadr[10:0][4] -.sym 27638 $0\memadr[10:0][6] -.sym 27640 $0\memadr[10:0][7] -.sym 27645 $0\memadr[10:0][2] -.sym 27647 $0\memadr[10:0][3] -.sym 27648 $0\memadr[10:0][5] -.sym 27653 $0\memadr[10:0][3] -.sym 27654 $0\memadr[10:0][4] -.sym 27655 $0\memadr[10:0][7] -.sym 27657 $0\memadr[10:0][9] -.sym 27661 $0\memadr[10:0][6] -.sym 27663 $0\memadr[10:0][8] -.sym 27664 $PACKER_VCC_NET -.sym 27665 $0\memadr[10:0][0] -.sym 27666 $0\memadr[10:0][1] -.sym 27670 $0\memadr[10:0][2] -.sym 27671 $0\memadr[10:0][5] -.sym 27681 $0\memadr[10:0][10] -.sym 27682 $PACKER_VCC_NET -.sym 27701 $0\memadr[10:0][0] -.sym 27702 $0\memadr[10:0][1] -.sym 27703 $0\memadr[10:0][10] -.sym 27704 $0\memadr[10:0][2] -.sym 27705 $0\memadr[10:0][3] -.sym 27706 $0\memadr[10:0][4] -.sym 27707 $0\memadr[10:0][5] -.sym 27708 $0\memadr[10:0][6] -.sym 27709 $0\memadr[10:0][7] -.sym 27710 $0\memadr[10:0][8] -.sym 27711 $0\memadr[10:0][9] -.sym 27712 clk -.sym 27713 $PACKER_VCC_NET -.sym 27714 $PACKER_VCC_NET -.sym 27726 clki -.sym 27731 $0\memadr[10:0][8] -.sym 27733 $0\memadr[10:0][9] -.sym 27744 $0\memadr[10:0][10] -.sym 27747 $0\memadr[10:0][10] -.sym 27766 $PACKER_GND_NET -.sym 27775 $PACKER_VCC_NET -.sym 27814 $PACKER_GND_NET_$glb_clk -.sym 27815 $PACKER_GND_NET -.sym 27824 $PACKER_VCC_NET -.sym 27834 $PACKER_GND_NET -.sym 27840 $PACKER_GND_NET -.sym 27843 $PACKER_VCC_NET -.sym 27850 $PACKER_VCC_NET -.sym 27851 $0\memadr[10:0][0] -.sym 27852 $0\memadr[10:0][1] -.sym 27857 $0\memadr[10:0][3] -.sym 27858 $0\memadr[10:0][8] -.sym 27859 $0\memadr[10:0][5] -.sym 27863 $0\memadr[10:0][7] -.sym 27864 $0\memadr[10:0][0] -.sym 27865 $0\memadr[10:0][4] -.sym 27868 $0\memadr[10:0][1] -.sym 27869 $0\memadr[10:0][6] -.sym 27870 $PACKER_VCC_NET -.sym 27872 $0\memadr[10:0][9] -.sym 27874 $0\memadr[10:0][2] -.sym 27884 $PACKER_VCC_NET -.sym 27885 $0\memadr[10:0][10] -.sym 27905 $0\memadr[10:0][0] -.sym 27906 $0\memadr[10:0][1] -.sym 27907 $0\memadr[10:0][10] -.sym 27908 $0\memadr[10:0][2] -.sym 27909 $0\memadr[10:0][3] -.sym 27910 $0\memadr[10:0][4] -.sym 27911 $0\memadr[10:0][5] -.sym 27912 $0\memadr[10:0][6] -.sym 27913 $0\memadr[10:0][7] -.sym 27914 $0\memadr[10:0][8] -.sym 27915 $0\memadr[10:0][9] -.sym 27916 clk -.sym 27917 $PACKER_VCC_NET -.sym 27918 $PACKER_VCC_NET -.sym 27927 $0\memadr[10:0][4] -.sym 27930 $0\memadr[10:0][4] -.sym 27944 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 27948 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 27950 $PACKER_VCC_NET -.sym 27961 $PACKER_GND_NET -.sym 27988 $PACKER_VCC_NET -.sym 27993 $abc$408$n45_1 -.sym 28018 $PACKER_GND_NET_$glb_clk -.sym 28019 $PACKER_GND_NET -.sym 28028 $PACKER_VCC_NET -.sym 28035 $0\memadr[10:0][9] -.sym 28036 $0\memadr[10:0][8] -.sym 28046 $0\memadr[10:0][6] -.sym 28048 $0\memadr[10:0][4] -.sym 28049 $0\memadr[10:0][3] -.sym 28052 $0\memadr[10:0][6] -.sym 28053 $0\memadr[10:0][2] -.sym 28054 $0\memadr[10:0][7] -.sym 28056 $0\memadr[10:0][5] -.sym 28061 $0\memadr[10:0][9] -.sym 28062 $0\memadr[10:0][8] -.sym 28066 $0\memadr[10:0][10] -.sym 28067 $0\memadr[10:0][7] -.sym 28071 $0\memadr[10:0][4] -.sym 28072 $PACKER_VCC_NET -.sym 28073 $0\memadr[10:0][6] -.sym 28074 $0\memadr[10:0][3] -.sym 28076 $0\memadr[10:0][5] -.sym 28078 $0\memadr[10:0][2] -.sym 28079 $0\memadr[10:0][1] -.sym 28080 $0\memadr[10:0][0] -.sym 28090 $PACKER_VCC_NET -.sym 28109 $0\memadr[10:0][0] -.sym 28110 $0\memadr[10:0][1] -.sym 28111 $0\memadr[10:0][10] -.sym 28112 $0\memadr[10:0][2] -.sym 28113 $0\memadr[10:0][3] -.sym 28114 $0\memadr[10:0][4] -.sym 28115 $0\memadr[10:0][5] -.sym 28116 $0\memadr[10:0][6] -.sym 28117 $0\memadr[10:0][7] -.sym 28118 $0\memadr[10:0][8] -.sym 28119 $0\memadr[10:0][9] -.sym 28120 clk -.sym 28121 $PACKER_VCC_NET -.sym 28122 $PACKER_VCC_NET -.sym 28135 $0\memadr[10:0][9] -.sym 28136 $0\memadr[10:0][8] -.sym 28141 $0\memadr[10:0][6] -.sym 28142 $0\memadr[10:0][10] -.sym 28143 $0\memadr[10:0][7] -.sym 28144 $0\memadr[10:0][5] -.sym 28152 $0\memadr[10:0][10] -.sym 28153 $0\memadr[10:0][10] -.sym 28155 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 28174 $PACKER_GND_NET -.sym 28192 $PACKER_VCC_NET -.sym 28195 $abc$408$n41_1 -.sym 28196 $abc$408$n40 -.sym 28198 $abc$408$n47_1 -.sym 28199 led_r -.sym 28222 $PACKER_GND_NET_$glb_clk -.sym 28223 $PACKER_GND_NET -.sym 28232 $PACKER_VCC_NET -.sym 28237 $0\memadr[10:0][5] -.sym 28238 $0\memadr[10:0][8] -.sym 28240 $0\memadr[10:0][9] -.sym 28241 $0\memadr[10:0][6] -.sym 28243 $0\memadr[10:0][7] -.sym 28247 $0\memadr[10:0][3] -.sym 28248 $0\memadr[10:0][2] -.sym 28251 $PACKER_VCC_NET -.sym 28259 $0\memadr[10:0][0] -.sym 28271 $0\memadr[10:0][1] -.sym 28272 $0\memadr[10:0][0] -.sym 28273 $0\memadr[10:0][8] -.sym 28274 $0\memadr[10:0][9] -.sym 28275 $0\memadr[10:0][4] -.sym 28276 $PACKER_VCC_NET -.sym 28278 $0\memadr[10:0][3] -.sym 28279 $0\memadr[10:0][6] -.sym 28281 $0\memadr[10:0][7] -.sym 28282 $0\memadr[10:0][2] -.sym 28283 $0\memadr[10:0][5] -.sym 28285 $PACKER_VCC_NET -.sym 28291 $0\memadr[10:0][10] -.sym 28304 $PACKER_VCC_NET +.sym 26511 $PACKER_GND_NET +.sym 26587 clki +.sym 28048 $0\memadr[10:0][6] +.sym 28054 $0\memadr[10:0][3] +.sym 28195 $0\memadr[10:0][0] +.sym 28200 memadr[1] +.sym 28202 $PACKER_VCC_NET +.sym 28253 $PACKER_VCC_NET +.sym 28256 $PACKER_VCC_NET +.sym 28258 $0\memadr[10:0][0] +.sym 28271 $0\memadr[10:0][5] +.sym 28273 $0\memadr[10:0][4] +.sym 28275 $0\memadr[10:0][6] +.sym 28276 $0\memadr[10:0][7] +.sym 28277 $0\memadr[10:0][2] +.sym 28278 $PACKER_VCC_NET +.sym 28279 $0\memadr[10:0][8] +.sym 28280 $0\memadr[10:0][1] +.sym 28281 $0\memadr[10:0][3] +.sym 28289 $0\memadr[10:0][0] +.sym 28292 $PACKER_VCC_NET .sym 28313 $0\memadr[10:0][0] .sym 28314 $0\memadr[10:0][1] -.sym 28315 $0\memadr[10:0][10] .sym 28316 $0\memadr[10:0][2] .sym 28317 $0\memadr[10:0][3] .sym 28318 $0\memadr[10:0][4] @@ -15521,47 +15166,41 @@ f7934dd9cb7daf5f0f447c03796fcd705d9e4d33f7e518623ae44a500909307e .sym 28320 $0\memadr[10:0][6] .sym 28321 $0\memadr[10:0][7] .sym 28322 $0\memadr[10:0][8] -.sym 28323 $0\memadr[10:0][9] .sym 28324 clk .sym 28325 $PACKER_VCC_NET .sym 28326 $PACKER_VCC_NET -.sym 28341 $abc$408$n49_1 -.sym 28342 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 28343 $abc$408$n44 -.sym 28347 $abc$408$n42 -.sym 28355 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 28357 $0\memadr[10:0][4] -.sym 28358 $PACKER_VCC_NET -.sym 28359 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 28378 $PACKER_GND_NET -.sym 28380 $PACKER_VCC_NET +.sym 28341 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 28344 $PACKER_VCC_NET +.sym 28346 $0\memadr[10:0][0] +.sym 28347 $0\memadr[10:0][5] +.sym 28349 $0\memadr[10:0][4] +.sym 28369 $PACKER_GND_NET +.sym 28387 $PACKER_VCC_NET +.sym 28403 $abc$358$n50 +.sym 28406 $abc$358$n49_1 .sym 28426 $PACKER_GND_NET_$glb_clk .sym 28427 $PACKER_GND_NET .sym 28436 $PACKER_VCC_NET -.sym 28446 $PACKER_VCC_NET -.sym 28453 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 28454 $0\memadr[10:0][6] -.sym 28456 $abc$408$n48 -.sym 28457 $0\memadr[10:0][3] -.sym 28460 $0\memadr[10:0][2] -.sym 28462 $0\memadr[10:0][7] -.sym 28464 $0\memadr[10:0][5] -.sym 28469 $0\memadr[10:0][9] -.sym 28470 $0\memadr[10:0][10] -.sym 28476 $0\memadr[10:0][6] -.sym 28478 $0\memadr[10:0][7] -.sym 28479 $0\memadr[10:0][8] -.sym 28480 $0\memadr[10:0][5] -.sym 28481 $0\memadr[10:0][2] -.sym 28482 $0\memadr[10:0][3] +.sym 28446 $0\memadr[10:0][8] +.sym 28453 $0\memadr[10:0][5] +.sym 28455 $0\memadr[10:0][3] +.sym 28457 $0\memadr[10:0][7] +.sym 28459 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 28461 $0\memadr[10:0][6] +.sym 28463 $0\memadr[10:0][4] +.sym 28470 $0\memadr[10:0][6] +.sym 28471 $0\memadr[10:0][5] +.sym 28472 $0\memadr[10:0][2] +.sym 28474 $0\memadr[10:0][8] +.sym 28475 $0\memadr[10:0][7] +.sym 28477 $0\memadr[10:0][4] +.sym 28480 $0\memadr[10:0][3] +.sym 28482 $PACKER_VCC_NET .sym 28484 $0\memadr[10:0][1] -.sym 28488 $0\memadr[10:0][0] -.sym 28489 $PACKER_VCC_NET -.sym 28495 $0\memadr[10:0][4] +.sym 28490 $0\memadr[10:0][0] .sym 28496 $PACKER_VCC_NET .sym 28517 $0\memadr[10:0][0] .sym 28518 $0\memadr[10:0][1] -.sym 28519 $0\memadr[10:0][10] .sym 28520 $0\memadr[10:0][2] .sym 28521 $0\memadr[10:0][3] .sym 28522 $0\memadr[10:0][4] @@ -15569,43 +15208,47 @@ f7934dd9cb7daf5f0f447c03796fcd705d9e4d33f7e518623ae44a500909307e .sym 28524 $0\memadr[10:0][6] .sym 28525 $0\memadr[10:0][7] .sym 28526 $0\memadr[10:0][8] -.sym 28527 $0\memadr[10:0][9] .sym 28528 clk .sym 28529 $PACKER_VCC_NET .sym 28530 $PACKER_VCC_NET -.sym 28543 $PACKER_GND_NET -.sym 28554 $0\memadr[10:0][10] -.sym 28561 $0\memadr[10:0][10] -.sym 28563 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 28573 $PACKER_GND_NET -.sym 28591 $PACKER_VCC_NET -.sym 28604 $abc$408$n48 +.sym 28543 $0\memadr[10:0][4] +.sym 28547 $0\memadr[10:0][5] +.sym 28550 $0\memadr[10:0][8] +.sym 28551 $0\memadr[10:0][7] +.sym 28554 $0\memadr[10:0][6] +.sym 28562 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 28575 $PACKER_VCC_NET +.sym 28598 $PACKER_GND_NET +.sym 28606 $abc$358$n40 +.sym 28608 $abc$358$n41_1 +.sym 28610 $abc$358$n47_1 .sym 28630 $PACKER_GND_NET_$glb_clk .sym 28631 $PACKER_GND_NET .sym 28640 $PACKER_VCC_NET -.sym 28647 $PACKER_GND_NET -.sym 28648 $0\memadr[10:0][7] -.sym 28650 $0\memadr[10:0][3] -.sym 28652 $PACKER_GND_NET -.sym 28659 $0\memadr[10:0][1] -.sym 28664 $0\memadr[10:0][0] -.sym 28665 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 28675 $0\memadr[10:0][9] +.sym 28651 $0\memadr[10:0][7] +.sym 28652 $0\memadr[10:0][4] +.sym 28653 $0\memadr[10:0][2] +.sym 28654 $0\memadr[10:0][5] +.sym 28655 $0\memadr[10:0][8] +.sym 28656 $0\memadr[10:0][6] +.sym 28659 $abc$358$n48 +.sym 28663 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 28665 $PACKER_VCC_NET +.sym 28667 $0\memadr[10:0][0] +.sym 28668 $abc$358$n42 +.sym 28673 $0\memadr[10:0][1] +.sym 28675 $PACKER_VCC_NET .sym 28677 $PACKER_VCC_NET -.sym 28678 $0\memadr[10:0][8] -.sym 28679 $0\memadr[10:0][1] -.sym 28680 $0\memadr[10:0][0] -.sym 28681 $0\memadr[10:0][6] -.sym 28686 $0\memadr[10:0][3] -.sym 28687 $0\memadr[10:0][2] -.sym 28689 $0\memadr[10:0][7] -.sym 28691 $0\memadr[10:0][5] -.sym 28697 $0\memadr[10:0][4] -.sym 28699 $0\memadr[10:0][10] -.sym 28700 $PACKER_VCC_NET +.sym 28678 $0\memadr[10:0][0] +.sym 28680 $0\memadr[10:0][2] +.sym 28682 $0\memadr[10:0][5] +.sym 28684 $0\memadr[10:0][3] +.sym 28685 $0\memadr[10:0][8] +.sym 28686 $0\memadr[10:0][7] +.sym 28690 $0\memadr[10:0][6] +.sym 28692 $0\memadr[10:0][4] .sym 28721 $0\memadr[10:0][0] .sym 28722 $0\memadr[10:0][1] -.sym 28723 $0\memadr[10:0][10] .sym 28724 $0\memadr[10:0][2] .sym 28725 $0\memadr[10:0][3] .sym 28726 $0\memadr[10:0][4] @@ -15613,33 +15256,33 @@ f7934dd9cb7daf5f0f447c03796fcd705d9e4d33f7e518623ae44a500909307e .sym 28728 $0\memadr[10:0][6] .sym 28729 $0\memadr[10:0][7] .sym 28730 $0\memadr[10:0][8] -.sym 28731 $0\memadr[10:0][9] .sym 28732 clk .sym 28733 $PACKER_VCC_NET .sym 28734 $PACKER_VCC_NET -.sym 28766 $PACKER_VCC_NET -.sym 28767 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 28786 $PACKER_GND_NET -.sym 28788 $PACKER_VCC_NET +.sym 28748 $abc$358$n43_1 +.sym 28766 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 28768 $0\memadr[10:0][3] +.sym 28777 $PACKER_GND_NET +.sym 28804 $PACKER_VCC_NET +.sym 28812 $abc$358$n42 .sym 28834 $PACKER_GND_NET_$glb_clk .sym 28835 $PACKER_GND_NET .sym 28844 $PACKER_VCC_NET -.sym 28877 $0\memadr[10:0][7] -.sym 28878 $0\memadr[10:0][10] -.sym 28881 $0\memadr[10:0][3] -.sym 28884 $0\memadr[10:0][6] -.sym 28885 $0\memadr[10:0][2] -.sym 28886 $0\memadr[10:0][9] -.sym 28887 $0\memadr[10:0][8] -.sym 28888 $0\memadr[10:0][1] -.sym 28891 $0\memadr[10:0][0] -.sym 28892 $0\memadr[10:0][5] +.sym 28854 $PACKER_GND_NET +.sym 28864 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 28879 $0\memadr[10:0][5] +.sym 28880 $0\memadr[10:0][2] +.sym 28881 $0\memadr[10:0][1] +.sym 28882 $0\memadr[10:0][8] +.sym 28885 $0\memadr[10:0][4] +.sym 28886 $0\memadr[10:0][7] +.sym 28889 $0\memadr[10:0][6] +.sym 28896 $0\memadr[10:0][0] +.sym 28897 $PACKER_VCC_NET .sym 28904 $PACKER_VCC_NET -.sym 28905 $0\memadr[10:0][4] -.sym 28906 $PACKER_VCC_NET +.sym 28906 $0\memadr[10:0][3] .sym 28925 $0\memadr[10:0][0] .sym 28926 $0\memadr[10:0][1] -.sym 28927 $0\memadr[10:0][10] .sym 28928 $0\memadr[10:0][2] .sym 28929 $0\memadr[10:0][3] .sym 28930 $0\memadr[10:0][4] @@ -15647,991 +15290,264 @@ f7934dd9cb7daf5f0f447c03796fcd705d9e4d33f7e518623ae44a500909307e .sym 28932 $0\memadr[10:0][6] .sym 28933 $0\memadr[10:0][7] .sym 28934 $0\memadr[10:0][8] -.sym 28935 $0\memadr[10:0][9] .sym 28936 clk .sym 28937 $PACKER_VCC_NET .sym 28938 $PACKER_VCC_NET -.sym 28951 clki .sym 28990 $PACKER_GND_NET -.sym 29008 $PACKER_VCC_NET +.sym 28992 $PACKER_VCC_NET .sym 29038 $PACKER_GND_NET_$glb_clk .sym 29039 $PACKER_GND_NET .sym 29048 $PACKER_VCC_NET -.sym 29054 $PACKER_GND_NET +.sym 29261 $PACKER_GND_NET +.sym 29689 $PACKER_GND_NET .sym 29698 $PACKER_GND_NET -.sym 29709 $PACKER_GND_NET -.sym 30665 $abc$408$n43_1 -.sym 30917 $0\memadr[10:0][0] -.sym 31052 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 31159 $0\memadr[10:0][1] -.sym 31165 $0\memadr[10:0][0] -.sym 31298 $PACKER_VCC_NET -.sym 31405 $0\memadr[10:0][6] -.sym 31407 $0\memadr[10:0][5] -.sym 31408 $0\memadr[10:0][3] -.sym 31409 $0\memadr[10:0][7] -.sym 31415 $0\memadr[10:0][4] -.sym 31416 $0\memadr[10:0][2] -.sym 31428 $PACKER_VCC_NET -.sym 31529 $0\memadr[10:0][10] -.sym 31534 $0\memadr[10:0][10] -.sym 31549 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 31565 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 31566 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 31569 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 31570 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 31602 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 31603 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 31604 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 31605 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 31654 $0\memadr[10:0][0] -.sym 31656 $PACKER_VCC_NET -.sym 31657 $abc$408$n45_1 -.sym 31658 $0\memadr[10:0][1] -.sym 31661 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 31783 $0\memadr[10:0][4] -.sym 31789 $PACKER_VCC_NET -.sym 31805 $abc$408$n48 -.sym 31806 $abc$408$n47_1 -.sym 31807 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 31810 $abc$408$n44 -.sym 31811 $abc$408$n41_1 -.sym 31814 $abc$408$n42 -.sym 31815 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 31817 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 31818 $abc$408$n49_1 -.sym 31819 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 31823 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 31824 $abc$408$n43_1 -.sym 31827 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 31828 $abc$408$n40 -.sym 31831 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 31836 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 31837 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 31838 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 31839 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 31842 $abc$408$n43_1 -.sym 31843 $abc$408$n42 -.sym 31844 $abc$408$n41_1 -.sym 31854 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 31855 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 31856 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 31857 $abc$408$n48 -.sym 31860 $abc$408$n49_1 -.sym 31861 $abc$408$n44 -.sym 31862 $abc$408$n40 -.sym 31863 $abc$408$n47_1 +.sym 29707 $PACKER_GND_NET +.sym 31818 $PACKER_VCC_NET +.sym 31823 memadr[0] +.sym 31825 $0\memadr[10:0][1] +.sym 31836 $PACKER_VCC_NET +.sym 31838 memadr[0] +.sym 31869 $0\memadr[10:0][1] .sym 31883 clk -.sym 31897 $0\memadr[10:0][2] -.sym 31901 $abc$408$n48 -.sym 31915 $PACKER_VCC_NET -.sym 32043 $PACKER_VCC_NET -.sym 32140 $abc$408$n43_1 -.sym 32143 $0\memadr[10:0][0] -.sym 32148 $0\memadr[10:0][1] -.sym 32282 $PACKER_VCC_NET -.sym 32287 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 32296 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 32298 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 32299 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 32320 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 32334 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 32335 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 32336 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 32337 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 34887 $0\memadr[10:0][1] -.sym 35375 $0\memadr[10:0][0] -.sym 35382 $0\memadr[10:0][1] -.sym 35486 $PACKER_VCC_NET -.sym 35614 $PACKER_VCC_NET -.sym 35730 $PACKER_VCC_NET -.sym 35874 $0\memadr[10:0][1] -.sym 35984 $PACKER_VCC_NET -.sym 36098 $PACKER_VCC_NET -.sym 36226 $PACKER_VCC_NET -.sym 36476 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 38106 $PACKER_GND_NET -.sym 38590 $PACKER_GND_NET -.sym 39070 $0\memadr[10:0][0] -.sym 39071 $0\memadr[10:0][3] -.sym 39073 $0\memadr[10:0][1] -.sym 39087 $PACKER_GND_NET -.sym 39196 $PACKER_GND_NET -.sym 39575 $PACKER_GND_NET -.sym 40063 $PACKER_GND_NET -.sym 40436 clki -.sym 40792 $PACKER_GND_NET -.sym 40924 clki -.sym 42412 $0\memadr[10:0][2] -.sym 42529 $0\memadr[10:0][8] -.sym 42533 $0\memadr[10:0][9] -.sym 42653 $0\memadr[10:0][9] -.sym 42655 $0\memadr[10:0][8] -.sym 42775 $PACKER_GND_NET -.sym 42786 $PACKER_GND_NET -.sym 43023 $0\memadr[10:0][9] -.sym 43026 $0\memadr[10:0][8] -.sym 43039 $0\memadr[10:0][2] -.sym 43144 $0\memadr[10:0][9] -.sym 43148 $0\memadr[10:0][10] -.sym 43150 $0\memadr[10:0][6] -.sym 43151 $0\memadr[10:0][8] -.sym 43152 $0\memadr[10:0][7] -.sym 43153 $0\memadr[10:0][5] -.sym 43267 $0\memadr[10:0][5] -.sym 43268 $0\memadr[10:0][8] -.sym 43271 $0\memadr[10:0][6] -.sym 43272 $0\memadr[10:0][9] -.sym 43273 $0\memadr[10:0][7] -.sym 43275 $0\memadr[10:0][2] -.sym 43277 $0\memadr[10:0][3] -.sym 43281 $0\memadr[10:0][1] -.sym 43393 $abc$408$n44 -.sym 43394 $abc$408$n49_1 -.sym 43521 $PACKER_VCC_NET -.sym 43642 $PACKER_GND_NET -.sym 43647 $0\memadr[10:0][10] -.sym 43762 $0\memadr[10:0][7] -.sym 43764 $0\memadr[10:0][3] -.sym 45047 clki -.sym 46245 $0\memadr[10:0][0] -.sym 46489 $0\memadr[10:0][1] -.sym 46493 $0\memadr[10:0][0] -.sym 46730 $0\memadr[10:0][2] -.sym 46732 $0\memadr[10:0][7] -.sym 46734 $0\memadr[10:0][3] -.sym 46736 $0\memadr[10:0][4] -.sym 46738 $0\memadr[10:0][5] -.sym 46740 $0\memadr[10:0][6] -.sym 46853 $0\memadr[10:0][10] -.sym 46975 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 46978 $PACKER_VCC_NET -.sym 46981 $abc$408$n45_1 -.sym 46983 $PACKER_VCC_NET -.sym 46985 $0\memadr[10:0][0] -.sym 46986 $0\memadr[10:0][1] -.sym 47104 $0\memadr[10:0][4] -.sym 47473 $0\memadr[10:0][0] -.sym 50432 memadr[7] -.sym 50566 $0\memadr[10:0][6] -.sym 50568 $0\memadr[10:0][7] -.sym 50581 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 50672 $abc$408$n44 -.sym 50685 $0\memadr[10:0][9] -.sym 50693 $0\memadr[10:0][8] -.sym 50813 $PACKER_VCC_NET -.sym 50814 $abc$408$n46 -.sym 50931 $PACKER_VCC_NET -.sym 51053 $PACKER_VCC_NET -.sym 51054 $PACKER_VCC_NET -.sym 51304 $PACKER_VCC_NET -.sym 51422 $PACKER_VCC_NET -.sym 51544 $PACKER_VCC_NET -.sym 51550 $PACKER_VCC_NET -.sym 51797 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 52683 clki -.sym 52701 clki -.sym 54039 $0\memadr[10:0][2] -.sym 54135 memadr[5] -.sym 54137 memadr[3] -.sym 54158 $0\memadr[10:0][8] -.sym 54160 $0\memadr[10:0][9] -.sym 54258 $0\memadr[10:0][2] -.sym 54259 $0\memadr[10:0][3] -.sym 54260 $0\memadr[10:0][4] -.sym 54261 $0\memadr[10:0][5] -.sym 54262 $0\memadr[10:0][6] -.sym 54263 $0\memadr[10:0][7] -.sym 54283 $0\memadr[10:0][5] -.sym 54284 $0\memadr[10:0][8] -.sym 54285 $0\memadr[10:0][6] -.sym 54286 $0\memadr[10:0][9] -.sym 54287 $0\memadr[10:0][7] -.sym 54288 $0\memadr[10:0][10] -.sym 54328 $0\memadr[10:0][7] -.sym 54373 $0\memadr[10:0][7] -.sym 54377 clk -.sym 54379 $0\memadr[10:0][8] -.sym 54380 $0\memadr[10:0][9] -.sym 54381 $0\memadr[10:0][10] -.sym 54382 memadr[8] -.sym 54383 memadr[6] -.sym 54384 memadr[4] -.sym 54385 memadr[9] -.sym 54386 memadr[10] -.sym 54392 $0\memadr[10:0][6] -.sym 54394 $0\memadr[10:0][3] -.sym 54396 $0\memadr[10:0][7] -.sym 54397 $0\memadr[10:0][1] -.sym 54399 memadr[1] -.sym 54401 $0\memadr[10:0][0] -.sym 54403 $0\memadr[10:0][2] -.sym 54405 $0\memadr[10:0][3] -.sym 54409 $0\memadr[10:0][5] -.sym 54411 $0\memadr[10:0][6] -.sym 54412 $0\memadr[10:0][8] -.sym 54413 $0\memadr[10:0][7] -.sym 54414 $0\memadr[10:0][9] -.sym 54520 $PACKER_GND_NET -.sym 54536 $abc$408$n44 -.sym 54548 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 54554 $abc$408$n46 -.sym 54563 $abc$408$n45_1 -.sym 54583 $abc$408$n46 -.sym 54584 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 54585 $abc$408$n45_1 -.sym 54770 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 54780 $0\memadr[10:0][10] -.sym 54897 $0\memadr[10:0][3] -.sym 54905 $0\memadr[10:0][7] -.sym 56123 $PACKER_GND_NET -.sym 57865 $0\memadr[10:0][0] -.sym 57868 $0\memadr[10:0][2] -.sym 57870 $0\memadr[10:0][3] -.sym 57872 $0\memadr[10:0][4] -.sym 57874 $0\memadr[10:0][5] -.sym 57973 $0\memadr[10:0][9] -.sym 57974 $0\memadr[10:0][2] -.sym 57979 $0\memadr[10:0][10] -.sym 57982 $0\memadr[10:0][8] -.sym 57987 $0\memadr[10:0][8] -.sym 57988 $0\memadr[10:0][6] -.sym 57989 $0\memadr[10:0][9] -.sym 57990 $0\memadr[10:0][7] -.sym 57991 $0\memadr[10:0][10] -.sym 58015 $0\memadr[10:0][3] -.sym 58017 $0\memadr[10:0][5] -.sym 58051 $0\memadr[10:0][5] -.sym 58061 $0\memadr[10:0][3] -.sym 58084 clk -.sym 58086 $0\memadr[10:0][0] -.sym 58089 memadr[2] -.sym 58092 $0\memadr[10:0][1] -.sym 58093 memadr[0] -.sym 58109 $PACKER_GND_NET -.sym 58110 $0\memadr[10:0][4] -.sym 58111 $PACKER_VCC_NET -.sym 58112 $0\memadr[10:0][5] -.sym 58114 $0\memadr[10:0][6] -.sym 58115 $0\memadr[10:0][1] -.sym 58116 $0\memadr[10:0][7] -.sym 58117 $0\memadr[10:0][9] -.sym 58119 $0\memadr[10:0][0] -.sym 58131 memadr[6] -.sym 58137 memadr[5] -.sym 58138 memadr[1] -.sym 58139 memadr[3] -.sym 58140 memadr[4] -.sym 58142 memadr[7] -.sym 58150 memadr[0] -.sym 58154 memadr[2] -.sym 58159 $nextpnr_ICESTORM_LC_0$O -.sym 58161 memadr[0] -.sym 58165 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 58167 memadr[1] -.sym 58171 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 58174 memadr[2] -.sym 58175 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 58177 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 58180 memadr[3] -.sym 58181 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 58183 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 58186 memadr[4] -.sym 58187 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 58189 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 58192 memadr[5] -.sym 58193 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 58195 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 58198 memadr[6] -.sym 58199 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 58201 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 58203 memadr[7] -.sym 58205 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 58222 $0\memadr[10:0][1] -.sym 58223 $0\memadr[10:0][5] -.sym 58227 $0\memadr[10:0][2] -.sym 58228 $0\memadr[10:0][0] -.sym 58229 $0\memadr[10:0][3] -.sym 58231 $0\memadr[10:0][4] -.sym 58234 $0\memadr[10:0][2] -.sym 58236 $0\memadr[10:0][3] -.sym 58238 $0\memadr[10:0][4] -.sym 58240 $0\memadr[10:0][5] -.sym 58241 $0\memadr[10:0][8] -.sym 58242 $0\memadr[10:0][6] -.sym 58243 $0\memadr[10:0][9] -.sym 58244 $0\memadr[10:0][7] -.sym 58245 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 58252 $0\memadr[10:0][10] -.sym 58254 $0\memadr[10:0][4] -.sym 58256 $0\memadr[10:0][6] -.sym 58259 $0\memadr[10:0][9] -.sym 58261 memadr[8] -.sym 58266 $0\memadr[10:0][8] -.sym 58273 memadr[10] -.sym 58280 memadr[9] -.sym 58282 $auto$alumacc.cc:474:replace_alu$167.C[9] -.sym 58285 memadr[8] -.sym 58286 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 58288 $auto$alumacc.cc:474:replace_alu$167.C[10] -.sym 58291 memadr[9] -.sym 58292 $auto$alumacc.cc:474:replace_alu$167.C[9] -.sym 58296 memadr[10] -.sym 58298 $auto$alumacc.cc:474:replace_alu$167.C[10] -.sym 58304 $0\memadr[10:0][8] -.sym 58307 $0\memadr[10:0][6] -.sym 58314 $0\memadr[10:0][4] -.sym 58321 $0\memadr[10:0][9] -.sym 58328 $0\memadr[10:0][10] -.sym 58330 clk -.sym 58344 $0\memadr[10:0][8] -.sym 58348 $0\memadr[10:0][9] -.sym 58357 $0\memadr[10:0][10] -.sym 58470 $0\memadr[10:0][8] -.sym 58472 $0\memadr[10:0][10] -.sym 58612 $0\memadr[10:0][0] -.sym 58721 $abc$408$n49_1 -.sym 58847 $PACKER_VCC_NET -.sym 58960 $PACKER_GND_NET -.sym 59447 $0\memadr[10:0][2] -.sym 59448 $0\memadr[10:0][9] -.sym 61312 $0\memadr[10:0][1] -.sym 61558 $0\memadr[10:0][0] -.sym 61561 $0\memadr[10:0][2] -.sym 61562 $0\memadr[10:0][5] -.sym 61563 $0\memadr[10:0][4] -.sym 61568 $0\memadr[10:0][3] -.sym 61682 $0\memadr[10:0][6] -.sym 61686 $0\memadr[10:0][7] -.sym 61687 $0\memadr[10:0][9] -.sym 61689 $0\memadr[10:0][10] -.sym 61690 $0\memadr[10:0][8] -.sym 61694 $0\memadr[10:0][0] -.sym 61806 $0\memadr[10:0][4] -.sym 61807 $0\memadr[10:0][6] -.sym 61808 $0\memadr[10:0][9] -.sym 61810 $0\memadr[10:0][5] -.sym 61814 $0\memadr[10:0][7] -.sym 61818 $0\memadr[10:0][1] -.sym 61928 $0\memadr[10:0][2] -.sym 61932 $0\memadr[10:0][3] -.sym 61934 $PACKER_GND_NET -.sym 61944 $0\memadr[10:0][1] -.sym 61947 $abc$408$n46 -.sym 61948 $0\memadr[10:0][0] -.sym 61964 memadr[0] -.sym 61967 $0\memadr[10:0][2] -.sym 61972 memadr[1] -.sym 61973 $PACKER_VCC_NET -.sym 61981 $0\memadr[10:0][0] -.sym 61992 $PACKER_VCC_NET -.sym 61993 memadr[0] -.sym 62008 $0\memadr[10:0][2] -.sym 62028 memadr[1] -.sym 62029 memadr[0] -.sym 62034 $0\memadr[10:0][0] -.sym 62037 clk -.sym 62051 $0\memadr[10:0][10] -.sym 62060 memadr[1] -.sym 62072 $0\memadr[10:0][1] -.sym 62297 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 62298 $0\memadr[10:0][4] -.sym 62300 $PACKER_VCC_NET -.sym 62301 $PACKER_VCC_NET -.sym 62302 $0\memadr[10:0][5] -.sym 62304 $0\memadr[10:0][6] -.sym 62305 $0\memadr[10:0][9] -.sym 62306 $0\memadr[10:0][7] -.sym 62420 $0\memadr[10:0][5] -.sym 62422 $0\memadr[10:0][7] -.sym 62424 $0\memadr[10:0][3] -.sym 62425 $0\memadr[10:0][8] -.sym 62426 $0\memadr[10:0][4] -.sym 62427 $0\memadr[10:0][9] -.sym 62428 $0\memadr[10:0][2] -.sym 62430 $0\memadr[10:0][6] -.sym 62543 $0\memadr[10:0][10] -.sym 65391 $0\memadr[10:0][0] -.sym 65513 $0\memadr[10:0][1] -.sym 65630 $PACKER_GND_NET -.sym 65638 $0\memadr[10:0][1] -.sym 65644 $abc$408$n46 -.sym 65647 $0\memadr[10:0][0] -.sym 65653 $PACKER_GND_NET -.sym 65779 $PACKER_GND_NET -.sym 65886 $0\memadr[10:0][7] -.sym 65888 $0\memadr[10:0][6] -.sym 65890 $0\memadr[10:0][7] -.sym 66006 $0\memadr[10:0][9] -.sym 66007 $0\memadr[10:0][9] -.sym 66008 $0\memadr[10:0][8] -.sym 66015 $0\memadr[10:0][8] -.sym 66129 $PACKER_VCC_NET -.sym 66133 $0\memadr[10:0][0] -.sym 66139 $0\memadr[10:0][0] -.sym 66252 $PACKER_VCC_NET -.sym 66255 $0\memadr[10:0][1] -.sym 66261 $0\memadr[10:0][1] -.sym 66271 $PACKER_GND_NET -.sym 66382 $PACKER_VCC_NET -.sym 66385 $PACKER_VCC_NET -.sym 66629 $PACKER_VCC_NET -.sym 66744 $PACKER_VCC_NET -.sym 66756 $PACKER_GND_NET -.sym 66866 $PACKER_VCC_NET -.sym 66871 $PACKER_VCC_NET -.sym 66875 $PACKER_VCC_NET -.sym 67119 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 67249 $PACKER_GND_NET -.sym 67749 $PACKER_GND_NET -.sym 68851 $PACKER_GND_NET -.sym 69339 $abc$408$n46 -.sym 69483 $PACKER_GND_NET -.sym 69611 $PACKER_GND_NET -.sym 69716 $0\memadr[10:0][7] -.sym 69718 memadr[1] -.sym 69719 $0\memadr[10:0][6] -.sym 69733 $PACKER_GND_NET -.sym 69838 $PACKER_GND_NET -.sym 69848 $0\memadr[10:0][1] -.sym 69850 $0\memadr[10:0][5] -.sym 69853 $0\memadr[10:0][2] -.sym 69855 $0\memadr[10:0][3] -.sym 69857 $0\memadr[10:0][4] -.sym 69859 $0\memadr[10:0][0] -.sym 69971 $PACKER_GND_NET -.sym 69973 $PACKER_VCC_NET -.sym 69975 $PACKER_GND_NET -.sym 70082 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 70103 $PACKER_GND_NET -.sym 70218 $PACKER_GND_NET -.sym 70323 $abc$408$n43_1 -.sym 70346 $0\memadr[10:0][2] -.sym 70347 $0\memadr[10:0][3] -.sym 70348 $0\memadr[10:0][1] -.sym 70349 $0\memadr[10:0][4] -.sym 70350 $0\memadr[10:0][5] -.sym 70351 $0\memadr[10:0][0] -.sym 70463 $PACKER_GND_NET -.sym 70471 $PACKER_VCC_NET -.sym 70596 $PACKER_GND_NET -.sym 71088 $PACKER_GND_NET -.sym 71576 $PACKER_GND_NET +.sym 31899 memadr[1] +.sym 31900 $0\memadr[10:0][7] +.sym 31902 $0\memadr[10:0][3] +.sym 31904 $0\memadr[10:0][4] +.sym 31906 $0\memadr[10:0][5] +.sym 31908 $0\memadr[10:0][6] +.sym 32038 $abc$358$n49_1 +.sym 32050 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 32068 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 32074 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 32076 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 32077 $abc$358$n50 +.sym 32078 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 32106 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 32107 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 32108 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 32124 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 32125 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 32126 $abc$358$n50 +.sym 32143 $PACKER_VCC_NET +.sym 32270 $0\memadr[10:0][3] +.sym 32302 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 32305 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 32307 $abc$358$n43_1 +.sym 32310 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 32313 $abc$358$n42 +.sym 32314 $abc$358$n48 +.sym 32316 $abc$358$n41_1 +.sym 32318 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 32320 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 32322 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 32326 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 32346 $abc$358$n43_1 +.sym 32347 $abc$358$n42 +.sym 32348 $abc$358$n41_1 +.sym 32358 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 32359 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 32360 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 32361 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 32370 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 32371 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 32372 $abc$358$n48 +.sym 32373 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 32393 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 32558 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 32560 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 32568 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 32604 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 32605 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 32606 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 35593 memadr[2] +.sym 35598 memadr[6] +.sym 35600 memadr[3] +.sym 35871 $0\memadr[10:0][2] +.sym 35986 $PACKER_GND_NET +.sym 39426 $0\memadr[10:0][2] +.sym 39427 $0\memadr[10:0][3] +.sym 39428 $0\memadr[10:0][4] +.sym 39429 $0\memadr[10:0][5] +.sym 39430 $0\memadr[10:0][6] +.sym 39431 $0\memadr[10:0][7] +.sym 39449 $0\memadr[10:0][4] +.sym 39451 $0\memadr[10:0][5] +.sym 39452 $0\memadr[10:0][8] +.sym 39453 $0\memadr[10:0][6] +.sym 39455 $0\memadr[10:0][7] +.sym 39484 $0\memadr[10:0][3] +.sym 39487 $0\memadr[10:0][6] +.sym 39491 $0\memadr[10:0][2] +.sym 39501 $0\memadr[10:0][2] +.sym 39529 $0\memadr[10:0][6] +.sym 39542 $0\memadr[10:0][3] +.sym 39545 clk +.sym 39547 $0\memadr[10:0][8] +.sym 39548 memadr[7] +.sym 39550 memadr[8] +.sym 39551 memadr[4] +.sym 39553 memadr[5] +.sym 39564 $0\memadr[10:0][7] +.sym 39565 memadr[0] +.sym 39570 $0\memadr[10:0][2] +.sym 39571 $0\memadr[10:0][2] +.sym 39575 $0\memadr[10:0][4] +.sym 39577 $0\memadr[10:0][5] +.sym 39579 $0\memadr[10:0][6] +.sym 39580 $0\memadr[10:0][8] +.sym 39581 $0\memadr[10:0][7] +.sym 40180 $PACKER_GND_NET +.sym 40184 $PACKER_GND_NET +.sym 40317 $PACKER_GND_NET +.sym 40428 $PACKER_GND_NET +.sym 41280 clki +.sym 43296 memadr[2] +.sym 43297 memadr[0] +.sym 43301 memadr[6] +.sym 43305 memadr[7] +.sym 43308 memadr[4] +.sym 43310 memadr[5] +.sym 43311 memadr[3] +.sym 43327 memadr[1] +.sym 43328 $nextpnr_ICESTORM_LC_0$O +.sym 43330 memadr[0] +.sym 43334 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 43336 memadr[1] +.sym 43340 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 43343 memadr[2] +.sym 43344 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 43346 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 43348 memadr[3] +.sym 43350 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 43352 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 43354 memadr[4] +.sym 43356 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 43358 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 43361 memadr[5] +.sym 43362 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 43364 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 43366 memadr[6] +.sym 43368 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 43370 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 43372 memadr[7] +.sym 43374 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 43392 $0\memadr[10:0][5] +.sym 43400 $0\memadr[10:0][4] +.sym 43405 $0\memadr[10:0][3] +.sym 43414 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 43423 $0\memadr[10:0][4] +.sym 43430 memadr[8] +.sym 43432 $0\memadr[10:0][5] +.sym 43434 $0\memadr[10:0][7] +.sym 43435 $0\memadr[10:0][8] +.sym 43454 memadr[8] +.sym 43455 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 43461 $0\memadr[10:0][7] +.sym 43471 $0\memadr[10:0][8] +.sym 43476 $0\memadr[10:0][4] +.sym 43488 $0\memadr[10:0][5] +.sym 43499 clk +.sym 43513 $0\memadr[10:0][8] +.sym 44010 $PACKER_GND_NET +.sym 48882 $PACKER_GND_NET +.sym 48895 $PACKER_GND_NET +.sym 48927 $PACKER_GND_NET +.sym 50190 $PACKER_GND_NET +.sym 51663 $PACKER_GND_NET +.sym 52713 clki +.sym 52737 clki +.sym 55499 $PACKER_GND_NET +.sym 55505 $PACKER_GND_NET +.sym 55752 $PACKER_GND_NET +.sym 59333 $PACKER_GND_NET +.sym 67377 $PACKER_GND_NET +.sym 67869 $PACKER_GND_NET +.sym 70827 $PACKER_GND_NET +.sym 70962 $PACKER_GND_NET +.sym 71074 $PACKER_GND_NET +.sym 71455 $PACKER_GND_NET .sym 71837 $PACKER_GND_NET .sym 71857 $PACKER_GND_NET -.sym 72819 $0\memadr[10:0][8] -.sym 72822 $0\memadr[10:0][10] -.sym 72825 $0\memadr[10:0][6] -.sym 72826 $0\memadr[10:0][9] -.sym 72827 $0\memadr[10:0][7] -.sym 72921 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 72945 $PACKER_VCC_NET -.sym 72946 $PACKER_VCC_NET -.sym 72950 $PACKER_VCC_NET -.sym 72952 $PACKER_VCC_NET -.sym 73044 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 73071 $0\memadr[10:0][2] -.sym 73073 $0\memadr[10:0][3] -.sym 73075 $PACKER_GND_NET -.sym 73167 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 73216 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 73224 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 73232 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 73233 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 73279 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 73280 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 73281 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 73282 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 73290 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 73305 $0\memadr[10:0][10] -.sym 73308 $0\memadr[10:0][8] -.sym 73319 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 73413 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 73434 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 73436 $PACKER_VCC_NET -.sym 73437 $PACKER_VCC_NET -.sym 73442 $PACKER_VCC_NET -.sym 73536 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 73545 $0\memadr[10:0][0] -.sym 73546 $0\memadr[10:0][5] -.sym 73550 $0\memadr[10:0][1] -.sym 73552 $0\memadr[10:0][3] -.sym 73553 $0\memadr[10:0][2] -.sym 73554 $0\memadr[10:0][4] -.sym 73559 $0\memadr[10:0][3] -.sym 73561 $PACKER_GND_NET -.sym 73563 $0\memadr[10:0][2] -.sym 73659 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 73677 $PACKER_VCC_NET -.sym 73782 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 73795 $0\memadr[10:0][10] -.sym 73799 $0\memadr[10:0][8] -.sym 73905 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 73925 $0\memadr[10:0][4] -.sym 73928 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 74028 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 74036 $0\memadr[10:0][3] -.sym 74038 $0\memadr[10:0][2] -.sym 74042 $0\memadr[10:0][0] -.sym 74044 $abc$408$n49_1 -.sym 74045 $0\memadr[10:0][1] -.sym 74046 $0\memadr[10:0][4] -.sym 74047 $0\memadr[10:0][5] -.sym 74048 $0\memadr[10:0][6] -.sym 74049 $0\memadr[10:0][5] -.sym 74050 $0\memadr[10:0][7] -.sym 74051 $0\memadr[10:0][3] -.sym 74052 $0\memadr[10:0][9] -.sym 74053 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 74054 $PACKER_GND_NET -.sym 74056 $0\memadr[10:0][4] -.sym 74057 $0\memadr[10:0][8] -.sym 74058 $0\memadr[10:0][2] -.sym 74059 $0\memadr[10:0][7] -.sym 74151 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 74168 $PACKER_GND_NET -.sym 74170 $PACKER_VCC_NET -.sym 74172 $0\memadr[10:0][10] -.sym 74198 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 74213 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 74216 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 74217 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 74263 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 74264 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 74265 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 74266 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 74274 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 74303 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 74397 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 74413 $PACKER_GND_NET -.sym 74520 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 74528 $0\memadr[10:0][3] -.sym 74532 $0\memadr[10:0][4] -.sym 74534 $0\memadr[10:0][0] -.sym 74535 $0\memadr[10:0][5] -.sym 74537 $0\memadr[10:0][2] -.sym 74539 $0\memadr[10:0][1] -.sym 74540 $0\memadr[10:0][7] -.sym 74542 $0\memadr[10:0][5] -.sym 74543 $0\memadr[10:0][3] -.sym 74544 $0\memadr[10:0][4] -.sym 74545 $0\memadr[10:0][8] -.sym 74548 $0\memadr[10:0][6] -.sym 74549 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 74551 $PACKER_GND_NET -.sym 74643 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 74660 $PACKER_GND_NET -.sym 74662 $PACKER_VCC_NET -.sym 74664 $0\memadr[10:0][10] -.sym 74766 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 74651 $PACKER_GND_NET +.sym 75656 $PACKER_GND_NET .sym 75668 $PACKER_GND_NET .sym 75671 $PACKER_GND_NET -.sym 75684 $PACKER_GND_NET -.sym 75692 $PACKER_GND_NET -.sym 75694 $PACKER_GND_NET -.sym 76407 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 76508 $0\memadr[10:0][1] -.sym 76620 $0\memadr[10:0][2] -.sym 76621 $0\memadr[10:0][5] -.sym 76622 $0\memadr[10:0][6] -.sym 76623 $0\memadr[10:0][9] -.sym 76624 $0\memadr[10:0][8] -.sym 76627 $0\memadr[10:0][10] -.sym 76629 $0\memadr[10:0][4] -.sym 76632 $0\memadr[10:0][7] -.sym 76634 $0\memadr[10:0][3] -.sym 76637 $PACKER_VCC_NET -.sym 76646 $0\memadr[10:0][1] -.sym 76647 $0\memadr[10:0][0] -.sym 76648 $PACKER_VCC_NET -.sym 76667 $0\memadr[10:0][0] -.sym 76668 $0\memadr[10:0][1] -.sym 76669 $0\memadr[10:0][10] -.sym 76670 $0\memadr[10:0][2] -.sym 76671 $0\memadr[10:0][3] -.sym 76672 $0\memadr[10:0][4] -.sym 76673 $0\memadr[10:0][5] -.sym 76674 $0\memadr[10:0][6] -.sym 76675 $0\memadr[10:0][7] -.sym 76676 $0\memadr[10:0][8] -.sym 76677 $0\memadr[10:0][9] -.sym 76678 clk -.sym 76679 $PACKER_VCC_NET -.sym 76680 $PACKER_VCC_NET -.sym 76694 $0\memadr[10:0][2] -.sym 76695 $0\memadr[10:0][4] -.sym 76697 $0\memadr[10:0][5] -.sym 76702 $0\memadr[10:0][3] -.sym 76734 $PACKER_VCC_NET -.sym 76739 $PACKER_GND_NET -.sym 76780 $PACKER_GND_NET_$glb_clk -.sym 76781 $PACKER_GND_NET -.sym 76790 $PACKER_VCC_NET -.sym 76808 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 76824 $0\memadr[10:0][6] -.sym 76825 $PACKER_VCC_NET -.sym 76826 $0\memadr[10:0][2] -.sym 76827 $PACKER_VCC_NET -.sym 76828 $0\memadr[10:0][8] -.sym 76829 $0\memadr[10:0][5] -.sym 76831 $0\memadr[10:0][10] -.sym 76834 $0\memadr[10:0][9] -.sym 76835 $0\memadr[10:0][4] -.sym 76836 $0\memadr[10:0][3] -.sym 76838 $0\memadr[10:0][7] -.sym 76851 $0\memadr[10:0][0] -.sym 76854 $0\memadr[10:0][1] -.sym 76871 $0\memadr[10:0][0] -.sym 76872 $0\memadr[10:0][1] -.sym 76873 $0\memadr[10:0][10] -.sym 76874 $0\memadr[10:0][2] -.sym 76875 $0\memadr[10:0][3] -.sym 76876 $0\memadr[10:0][4] -.sym 76877 $0\memadr[10:0][5] -.sym 76878 $0\memadr[10:0][6] -.sym 76879 $0\memadr[10:0][7] -.sym 76880 $0\memadr[10:0][8] -.sym 76881 $0\memadr[10:0][9] -.sym 76882 clk -.sym 76883 $PACKER_VCC_NET -.sym 76884 $PACKER_VCC_NET -.sym 76898 $0\memadr[10:0][6] -.sym 76900 $0\memadr[10:0][9] -.sym 76901 $PACKER_VCC_NET -.sym 76903 $0\memadr[10:0][4] -.sym 76905 $0\memadr[10:0][5] -.sym 76906 $0\memadr[10:0][7] -.sym 76909 $0\memadr[10:0][9] -.sym 76913 $0\memadr[10:0][8] -.sym 76927 $PACKER_GND_NET -.sym 76954 $PACKER_VCC_NET -.sym 76960 memadr[1] -.sym 76984 $PACKER_GND_NET_$glb_clk -.sym 76985 $PACKER_GND_NET -.sym 76994 $PACKER_VCC_NET -.sym 77030 $0\memadr[10:0][10] -.sym 77032 $0\memadr[10:0][4] -.sym 77036 $0\memadr[10:0][1] -.sym 77037 $0\memadr[10:0][2] -.sym 77038 $0\memadr[10:0][3] -.sym 77039 $0\memadr[10:0][0] -.sym 77042 $0\memadr[10:0][5] -.sym 77045 $PACKER_VCC_NET -.sym 77047 $0\memadr[10:0][9] -.sym 77051 $0\memadr[10:0][8] -.sym 77054 $0\memadr[10:0][7] -.sym 77055 $0\memadr[10:0][6] -.sym 77056 $PACKER_VCC_NET -.sym 77075 $0\memadr[10:0][0] -.sym 77076 $0\memadr[10:0][1] -.sym 77077 $0\memadr[10:0][10] -.sym 77078 $0\memadr[10:0][2] -.sym 77079 $0\memadr[10:0][3] -.sym 77080 $0\memadr[10:0][4] -.sym 77081 $0\memadr[10:0][5] -.sym 77082 $0\memadr[10:0][6] -.sym 77083 $0\memadr[10:0][7] -.sym 77084 $0\memadr[10:0][8] -.sym 77085 $0\memadr[10:0][9] -.sym 77086 clk -.sym 77087 $PACKER_VCC_NET -.sym 77088 $PACKER_VCC_NET -.sym 77104 memadr[1] -.sym 77106 $0\memadr[10:0][10] -.sym 77122 $0\memadr[10:0][1] -.sym 77142 $PACKER_VCC_NET -.sym 77147 $PACKER_GND_NET -.sym 77188 $PACKER_GND_NET_$glb_clk -.sym 77189 $PACKER_GND_NET -.sym 77198 $PACKER_VCC_NET -.sym 77216 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 77220 $0\memadr[10:0][6] -.sym 77221 $0\memadr[10:0][7] -.sym 77225 $0\memadr[10:0][7] -.sym 77232 $0\memadr[10:0][4] -.sym 77233 $PACKER_VCC_NET -.sym 77234 $0\memadr[10:0][2] -.sym 77236 $0\memadr[10:0][6] -.sym 77237 $0\memadr[10:0][5] -.sym 77240 $0\memadr[10:0][9] -.sym 77241 $0\memadr[10:0][8] -.sym 77242 $0\memadr[10:0][7] -.sym 77245 $0\memadr[10:0][10] -.sym 77246 $0\memadr[10:0][3] -.sym 77251 $PACKER_VCC_NET -.sym 77260 $0\memadr[10:0][1] -.sym 77261 $0\memadr[10:0][0] -.sym 77279 $0\memadr[10:0][0] -.sym 77280 $0\memadr[10:0][1] -.sym 77281 $0\memadr[10:0][10] -.sym 77282 $0\memadr[10:0][2] -.sym 77283 $0\memadr[10:0][3] -.sym 77284 $0\memadr[10:0][4] -.sym 77285 $0\memadr[10:0][5] -.sym 77286 $0\memadr[10:0][6] -.sym 77287 $0\memadr[10:0][7] -.sym 77288 $0\memadr[10:0][8] -.sym 77289 $0\memadr[10:0][9] -.sym 77290 clk -.sym 77291 $PACKER_VCC_NET -.sym 77292 $PACKER_VCC_NET -.sym 77306 $0\memadr[10:0][4] -.sym 77309 $PACKER_VCC_NET -.sym 77310 $0\memadr[10:0][7] -.sym 77312 $0\memadr[10:0][6] -.sym 77313 $0\memadr[10:0][5] -.sym 77315 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 77316 $0\memadr[10:0][9] -.sym 77317 $0\memadr[10:0][9] -.sym 77319 $0\memadr[10:0][9] -.sym 77326 $0\memadr[10:0][8] -.sym 77327 $0\memadr[10:0][8] -.sym 77335 $PACKER_GND_NET -.sym 77353 $PACKER_VCC_NET -.sym 77368 $abc$408$n50 -.sym 77372 $abc$408$n49_1 -.sym 77392 $PACKER_GND_NET_$glb_clk -.sym 77393 $PACKER_GND_NET -.sym 77402 $PACKER_VCC_NET -.sym 77409 $PACKER_GND_NET -.sym 77422 $0\memadr[10:0][0] -.sym 77427 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 77428 $0\memadr[10:0][0] -.sym 77436 $0\memadr[10:0][0] -.sym 77437 $0\memadr[10:0][1] -.sym 77439 $0\memadr[10:0][5] -.sym 77440 $0\memadr[10:0][4] -.sym 77442 $0\memadr[10:0][2] -.sym 77443 $0\memadr[10:0][10] -.sym 77447 $0\memadr[10:0][6] -.sym 77448 $0\memadr[10:0][3] -.sym 77450 $0\memadr[10:0][7] -.sym 77455 $PACKER_VCC_NET -.sym 77457 $0\memadr[10:0][9] -.sym 77462 $PACKER_VCC_NET -.sym 77465 $0\memadr[10:0][8] -.sym 77483 $0\memadr[10:0][0] -.sym 77484 $0\memadr[10:0][1] -.sym 77485 $0\memadr[10:0][10] -.sym 77486 $0\memadr[10:0][2] -.sym 77487 $0\memadr[10:0][3] -.sym 77488 $0\memadr[10:0][4] -.sym 77489 $0\memadr[10:0][5] -.sym 77490 $0\memadr[10:0][6] -.sym 77491 $0\memadr[10:0][7] -.sym 77492 $0\memadr[10:0][8] -.sym 77493 $0\memadr[10:0][9] -.sym 77494 clk -.sym 77495 $PACKER_VCC_NET -.sym 77496 $PACKER_VCC_NET -.sym 77509 $0\memadr[10:0][10] -.sym 77521 $PACKER_VCC_NET -.sym 77524 $0\memadr[10:0][1] -.sym 77530 $0\memadr[10:0][1] -.sym 77539 $PACKER_GND_NET -.sym 77541 $PACKER_VCC_NET -.sym 77596 $PACKER_GND_NET_$glb_clk -.sym 77597 $PACKER_GND_NET -.sym 77606 $PACKER_VCC_NET -.sym 77628 $0\memadr[10:0][6] -.sym 77629 $0\memadr[10:0][7] -.sym 77631 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 77639 $0\memadr[10:0][9] -.sym 77640 $0\memadr[10:0][4] -.sym 77644 $0\memadr[10:0][8] -.sym 77645 $0\memadr[10:0][7] -.sym 77649 $0\memadr[10:0][0] -.sym 77651 $0\memadr[10:0][6] -.sym 77652 $0\memadr[10:0][5] -.sym 77653 $0\memadr[10:0][2] -.sym 77654 $0\memadr[10:0][3] -.sym 77657 $PACKER_VCC_NET -.sym 77659 $PACKER_VCC_NET -.sym 77663 $0\memadr[10:0][10] -.sym 77668 $0\memadr[10:0][1] -.sym 77687 $0\memadr[10:0][0] -.sym 77688 $0\memadr[10:0][1] -.sym 77689 $0\memadr[10:0][10] -.sym 77690 $0\memadr[10:0][2] -.sym 77691 $0\memadr[10:0][3] -.sym 77692 $0\memadr[10:0][4] -.sym 77693 $0\memadr[10:0][5] -.sym 77694 $0\memadr[10:0][6] -.sym 77695 $0\memadr[10:0][7] -.sym 77696 $0\memadr[10:0][8] -.sym 77697 $0\memadr[10:0][9] -.sym 77698 clk -.sym 77699 $PACKER_VCC_NET -.sym 77700 $PACKER_VCC_NET -.sym 77730 $0\memadr[10:0][9] -.sym 77735 $0\memadr[10:0][8] -.sym 77752 $PACKER_GND_NET -.sym 77761 $PACKER_VCC_NET -.sym 77800 $PACKER_GND_NET_$glb_clk -.sym 77801 $PACKER_GND_NET -.sym 77810 $PACKER_VCC_NET -.sym 77837 $0\memadr[10:0][0] -.sym 77843 $0\memadr[10:0][5] -.sym 77844 $0\memadr[10:0][0] -.sym 77847 $0\memadr[10:0][1] -.sym 77850 $0\memadr[10:0][4] -.sym 77851 $0\memadr[10:0][10] -.sym 77853 $0\memadr[10:0][2] -.sym 77855 $0\memadr[10:0][6] -.sym 77856 $0\memadr[10:0][3] -.sym 77858 $0\memadr[10:0][7] -.sym 77861 $PACKER_VCC_NET -.sym 77868 $0\memadr[10:0][9] -.sym 77872 $PACKER_VCC_NET -.sym 77873 $0\memadr[10:0][8] -.sym 77891 $0\memadr[10:0][0] -.sym 77892 $0\memadr[10:0][1] -.sym 77893 $0\memadr[10:0][10] -.sym 77894 $0\memadr[10:0][2] -.sym 77895 $0\memadr[10:0][3] -.sym 77896 $0\memadr[10:0][4] -.sym 77897 $0\memadr[10:0][5] -.sym 77898 $0\memadr[10:0][6] -.sym 77899 $0\memadr[10:0][7] -.sym 77900 $0\memadr[10:0][8] -.sym 77901 $0\memadr[10:0][9] -.sym 77902 clk -.sym 77903 $PACKER_VCC_NET -.sym 77904 $PACKER_VCC_NET -.sym 77940 $0\memadr[10:0][1] -.sym 77947 $PACKER_GND_NET -.sym 77949 $PACKER_VCC_NET -.sym 78004 $PACKER_GND_NET_$glb_clk -.sym 78005 $PACKER_GND_NET -.sym 78014 $PACKER_VCC_NET -.sym 78033 $PACKER_VCC_NET -.sym 78035 $PACKER_VCC_NET -.sym 78040 $PACKER_VCC_NET -.sym 78048 $0\memadr[10:0][8] -.sym 78049 $0\memadr[10:0][9] -.sym 78050 $0\memadr[10:0][2] -.sym 78051 $0\memadr[10:0][7] -.sym 78053 $0\memadr[10:0][5] -.sym 78055 $0\memadr[10:0][4] -.sym 78058 $PACKER_VCC_NET -.sym 78059 $0\memadr[10:0][6] -.sym 78060 $PACKER_VCC_NET -.sym 78062 $0\memadr[10:0][3] -.sym 78066 $0\memadr[10:0][0] -.sym 78071 $0\memadr[10:0][10] -.sym 78078 $0\memadr[10:0][1] -.sym 78095 $0\memadr[10:0][0] -.sym 78096 $0\memadr[10:0][1] -.sym 78097 $0\memadr[10:0][10] -.sym 78098 $0\memadr[10:0][2] -.sym 78099 $0\memadr[10:0][3] -.sym 78100 $0\memadr[10:0][4] -.sym 78101 $0\memadr[10:0][5] -.sym 78102 $0\memadr[10:0][6] -.sym 78103 $0\memadr[10:0][7] -.sym 78104 $0\memadr[10:0][8] -.sym 78105 $0\memadr[10:0][9] -.sym 78106 clk -.sym 78107 $PACKER_VCC_NET -.sym 78108 $PACKER_VCC_NET -.sym 78151 $PACKER_GND_NET -.sym 78178 $PACKER_VCC_NET -.sym 78208 $PACKER_GND_NET_$glb_clk -.sym 78209 $PACKER_GND_NET -.sym 78218 $PACKER_VCC_NET +.sym 75682 $PACKER_GND_NET +.sym 75690 $PACKER_GND_NET +.sym 75695 $PACKER_GND_NET +.sym 77879 $PACKER_GND_NET .sym 78868 $PACKER_GND_NET -.sym 78890 $PACKER_GND_NET -.sym 80509 $0\memadr[10:0][1] -.sym 80532 $0\memadr[10:0][1] -.sym 80561 clk -.sym 80973 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 80975 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 80976 $abc$408$n50 -.sym 80985 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 80998 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 81001 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 81025 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 81026 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 81027 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 81048 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 81050 $abc$408$n50 -.sym 81051 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 81071 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 107563 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 107564 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 107565 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 108639 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 108640 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 108641 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 108642 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 108695 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 108696 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 108697 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 108698 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 108699 $abc$408$n41_1 -.sym 108700 $abc$408$n42 -.sym 108701 $abc$408$n43_1 -.sym 108707 $abc$408$n48 -.sym 108708 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 108709 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 108710 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 108711 $abc$408$n40 -.sym 108712 $abc$408$n44 -.sym 108713 $abc$408$n47_1 -.sym 108714 $abc$408$n49_1 -.sym 108827 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 108828 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 108829 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 108830 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 114355 $0\memadr[10:0][7] -.sym 114395 $abc$408$n45_1 -.sym 114396 $abc$408$n46 -.sym 114397 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 115263 $0\memadr[10:0][5] -.sym 115271 $0\memadr[10:0][3] -.sym 115288 memadr[0] -.sym 115293 memadr[1] -.sym 115297 memadr[2] -.sym 115298 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 115301 memadr[3] -.sym 115302 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 115305 memadr[4] -.sym 115306 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 115309 memadr[5] -.sym 115310 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 115313 memadr[6] -.sym 115314 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 115317 memadr[7] -.sym 115318 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 115321 memadr[8] -.sym 115322 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 115325 memadr[9] -.sym 115326 $auto$alumacc.cc:474:replace_alu$167.C[9] -.sym 115329 memadr[10] -.sym 115330 $auto$alumacc.cc:474:replace_alu$167.C[10] -.sym 115331 $0\memadr[10:0][8] -.sym 115335 $0\memadr[10:0][6] -.sym 115339 $0\memadr[10:0][4] -.sym 115343 $0\memadr[10:0][9] -.sym 115347 $0\memadr[10:0][10] -.sym 116248 $PACKER_VCC_NET -.sym 116249 memadr[0] -.sym 116259 $0\memadr[10:0][2] -.sym 116271 memadr[1] -.sym 116272 memadr[0] -.sym 116275 $0\memadr[10:0][0] -.sym 119091 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 119092 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 119093 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 119094 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 119347 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 119348 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 119349 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 119350 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 120099 $0\memadr[10:0][1] -.sym 120227 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 120228 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 120229 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 120243 $abc$408$n50 -.sym 120244 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 120245 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 78883 $PACKER_GND_NET +.sym 81571 $PACKER_GND_NET +.sym 107743 $0\memadr[10:0][0] +.sym 107763 memadr[1] +.sym 107764 memadr[0] +.sym 107803 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 107804 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 107805 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 107806 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 107815 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 107816 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 107817 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 107818 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 107867 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 107868 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 107869 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 107870 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 107871 $abc$358$n45_1 +.sym 107872 $abc$358$n46 +.sym 107873 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 107887 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 107888 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 107889 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 107890 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 107891 $abc$358$n40 +.sym 107892 $abc$358$n44 +.sym 107893 $abc$358$n47_1 +.sym 107894 $abc$358$n49_1 +.sym 108696 $PACKER_VCC_NET +.sym 108697 memadr[0] +.sym 108715 $0\memadr[10:0][1] +.sym 108775 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 108776 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 108777 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 108787 $abc$358$n50 +.sym 108788 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 108789 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 108835 $abc$358$n41_1 +.sym 108836 $abc$358$n42 +.sym 108837 $abc$358$n43_1 +.sym 108843 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 108844 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 108845 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 108846 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 108851 $abc$358$n48 +.sym 108852 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 108853 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 108854 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 108907 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 108908 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 108909 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 110615 $0\memadr[10:0][2] +.sym 110635 $0\memadr[10:0][6] +.sym 110643 $0\memadr[10:0][3] +.sym 111576 memadr[0] +.sym 111581 memadr[1] +.sym 111585 memadr[2] +.sym 111586 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 111589 memadr[3] +.sym 111590 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 111593 memadr[4] +.sym 111594 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 111597 memadr[5] +.sym 111598 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 111601 memadr[6] +.sym 111602 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 111605 memadr[7] +.sym 111606 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 111609 memadr[8] +.sym 111610 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 111611 $0\memadr[10:0][7] +.sym 111619 $0\memadr[10:0][8] +.sym 111623 $0\memadr[10:0][4] +.sym 111631 $0\memadr[10:0][5] diff --git a/memtest/.build/memtest.json b/memtest/.build/memtest.json index 491b2e4..73f7a4c 100644 --- a/memtest/.build/memtest.json +++ b/memtest/.build/memtest.json @@ -5298,7 +5298,7 @@ } }, "cells": { - "$abc$408$auto$blifparse.cc:492:parse_blif$409": { + "$abc$358$auto$blifparse.cc:492:parse_blif$359": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5323,7 +5323,7 @@ "O": [ 8 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$410": { + "$abc$358$auto$blifparse.cc:492:parse_blif$360": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5348,7 +5348,7 @@ "O": [ 13 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$411": { + "$abc$358$auto$blifparse.cc:492:parse_blif$361": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5373,7 +5373,7 @@ "O": [ 9 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$412": { + "$abc$358$auto$blifparse.cc:492:parse_blif$362": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5398,7 +5398,7 @@ "O": [ 14 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$413": { + "$abc$358$auto$blifparse.cc:492:parse_blif$363": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5423,7 +5423,7 @@ "O": [ 15 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$414": { + "$abc$358$auto$blifparse.cc:492:parse_blif$364": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5448,7 +5448,7 @@ "O": [ 16 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$415": { + "$abc$358$auto$blifparse.cc:492:parse_blif$365": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5473,7 +5473,7 @@ "O": [ 10 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$416": { + "$abc$358$auto$blifparse.cc:492:parse_blif$366": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5498,7 +5498,7 @@ "O": [ 28 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$417": { + "$abc$358$auto$blifparse.cc:492:parse_blif$367": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5523,7 +5523,7 @@ "O": [ 29 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$418": { + "$abc$358$auto$blifparse.cc:492:parse_blif$368": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5548,7 +5548,7 @@ "O": [ 11 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$419": { + "$abc$358$auto$blifparse.cc:492:parse_blif$369": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5573,7 +5573,7 @@ "O": [ 39 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$420": { + "$abc$358$auto$blifparse.cc:492:parse_blif$370": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5598,7 +5598,7 @@ "O": [ 12 ] } }, - "$abc$408$auto$blifparse.cc:492:parse_blif$421": { + "$abc$358$auto$blifparse.cc:492:parse_blif$371": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5648,31 +5648,6 @@ "O": [ 53 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[10].adder": { - "hide_name": 1, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": 27030 - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 54 ], - "I3": [ 55 ], - "O": [ 56 ] - } - }, "$auto$alumacc.cc:474:replace_alu$167.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", @@ -5690,12 +5665,59 @@ }, "connections": { "CI": [ 7 ], - "CO": [ 57 ], + "CO": [ 54 ], "I0": [ "0" ], "I1": [ 6 ] } }, "$auto$alumacc.cc:474:replace_alu$167.slice[2].adder": { + "hide_name": 1, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": 27030 + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 55 ], + "I3": [ 54 ], + "O": [ 56 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$167.slice[2].carry": { + "hide_name": 1, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 54 ], + "CO": [ 57 ], + "I0": [ "0" ], + "I1": [ 55 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$167.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5720,7 +5742,7 @@ "O": [ 59 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[2].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { @@ -5742,7 +5764,7 @@ "I1": [ 58 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[3].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5767,7 +5789,7 @@ "O": [ 62 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[3].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { @@ -5789,7 +5811,7 @@ "I1": [ 61 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[4].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5814,7 +5836,7 @@ "O": [ 65 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[4].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { @@ -5836,7 +5858,7 @@ "I1": [ 64 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[5].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5861,7 +5883,7 @@ "O": [ 68 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[5].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { @@ -5883,7 +5905,7 @@ "I1": [ 67 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[6].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5908,7 +5930,7 @@ "O": [ 71 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[6].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { @@ -5930,7 +5952,7 @@ "I1": [ 70 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[7].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5955,123 +5977,7 @@ "O": [ 74 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[7].carry": { - "hide_name": 1, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 72 ], - "CO": [ 75 ], - "I0": [ "0" ], - "I1": [ 73 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$167.slice[8].adder": { - "hide_name": 1, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": 27030 - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 76 ], - "I3": [ 75 ], - "O": [ 77 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$167.slice[8].carry": { - "hide_name": 1, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 75 ], - "CO": [ 78 ], - "I0": [ "0" ], - "I1": [ 76 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$167.slice[9].adder": { - "hide_name": 1, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": 27030 - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 79 ], - "I3": [ 78 ], - "O": [ 80 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$167.slice[9].carry": { - "hide_name": 1, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 78 ], - "CO": [ 55 ], - "I0": [ "0" ], - "I1": [ 79 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$319": { + "$auto$simplemap.cc:420:simplemap_dff$271": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6086,12 +5992,12 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], "D": [ 13 ], "Q": [ 2 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$320": { + "$auto$simplemap.cc:420:simplemap_dff$272": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6106,12 +6012,12 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], "D": [ 53 ], "Q": [ 7 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$321": { + "$auto$simplemap.cc:420:simplemap_dff$273": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6126,12 +6032,12 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], "D": [ 8 ], "Q": [ 6 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$322": { + "$auto$simplemap.cc:420:simplemap_dff$274": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6146,12 +6052,32 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], + "D": [ 56 ], + "Q": [ 55 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$275": { + "hide_name": 1, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 75 ], "D": [ 59 ], "Q": [ 58 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$323": { + "$auto$simplemap.cc:420:simplemap_dff$276": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6166,12 +6092,12 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], "D": [ 62 ], "Q": [ 61 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$324": { + "$auto$simplemap.cc:420:simplemap_dff$277": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6186,12 +6112,12 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], "D": [ 65 ], "Q": [ 64 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$325": { + "$auto$simplemap.cc:420:simplemap_dff$278": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6206,12 +6132,12 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], "D": [ 68 ], "Q": [ 67 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$326": { + "$auto$simplemap.cc:420:simplemap_dff$279": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6226,12 +6152,12 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], "D": [ 71 ], "Q": [ 70 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$327": { + "$auto$simplemap.cc:420:simplemap_dff$280": { "hide_name": 1, "type": "SB_DFF", "parameters": { @@ -6246,71 +6172,11 @@ "Q": "output" }, "connections": { - "C": [ 81 ], + "C": [ 75 ], "D": [ 74 ], "Q": [ 73 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$328": { - "hide_name": 1, - "type": "SB_DFF", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" - }, - "port_directions": { - "C": "input", - "D": "input", - "Q": "output" - }, - "connections": { - "C": [ 81 ], - "D": [ 77 ], - "Q": [ 76 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$329": { - "hide_name": 1, - "type": "SB_DFF", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" - }, - "port_directions": { - "C": "input", - "D": "input", - "Q": "output" - }, - "connections": { - "C": [ 81 ], - "D": [ 80 ], - "Q": [ 79 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$330": { - "hide_name": 1, - "type": "SB_DFF", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" - }, - "port_directions": { - "C": "input", - "D": "input", - "Q": "output" - }, - "connections": { - "C": [ 81 ], - "D": [ 56 ], - "Q": [ 54 ] - } - }, "clk_gb": { "hide_name": 0, "type": "SB_GB", @@ -6325,7 +6191,7 @@ "USER_SIGNAL_TO_GLOBAL_BUFFER": "input" }, "connections": { - "GLOBAL_BUFFER_OUTPUT": [ 81 ], + "GLOBAL_BUFFER_OUTPUT": [ 75 ], "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 5 ] } }, @@ -6333,24 +6199,24 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111", - "INIT_1": "0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001", - "INIT_2": "0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000", - "INIT_3": "0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000", - "INIT_4": "0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000", - "INIT_5": "0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010", - "INIT_6": "0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001", - "INIT_7": "0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011", - "INIT_8": "1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001", - "INIT_9": "1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011", - "INIT_A": "1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010", - "INIT_B": "1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010", - "INIT_C": "1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101", - "INIT_D": "0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111", - "INIT_E": "1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111", - "INIT_F": "1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100", - "READ_MODE": 3, - "WRITE_MODE": 3 + "INIT_0": "1101110000010001001101000000010110101111010110110100001001111100010111001110010010011010000100111001010101100100001110001100101110111000100001000000101101011110000100101101100111101000111110000011001010001010010000101100011100001100110010101010101101011111", + "INIT_1": "1011111110001010000111100110000000001000010010001100111100001110001001110100110111111011111010101100001001100000011100011100000001110000111111111011111000000111010110001111111100010001110000111000011000100001111111011000011110001011011100110100010101101001", + "INIT_2": "0111000001110101101001111010011110011100110011001001011011101110110000100101110000011000100010000001100110110111100000111001100101011101101101010011101110110110100101001111011000100110001101010010010011111101111110011111101000000001010111111100001111111000", + "INIT_3": "1111001110000110101011010100110101110000000000010011101101000110100110001001110111001110110000110011000010010110110011110101111101101101001000011010110111111110000010001100101000011110110101000010101010101100010111000010110001000111111010111000110001100000", + "INIT_4": "0011100110110110011001110000001010111011111110010001010011111101101111101001100101110011111100111011011100101000110011010111110010110001100100001001101010101100000010000100010000100011011111100000000110111110111001001110110010000101001011110101111001101000", + "INIT_5": "0110010100101111100001111110100011111001000101111010101010011001111001001001101010011110110111001100000110011101111000001111111011011001100011101110011011011000000011111010101100111010000010101100111010010011000101100100110010011110011000001101100100001110", + "INIT_6": "0101100011100010000001101001100000110011111011010101001000101100100101010010001010110010111011010010101101110001110101101111110000101000100101011000100011110111010111011110011001011111101101111011001011111001010000011111010110010100011000001000010010110101", + "INIT_7": "0110001001101111100101011100001100001000110010101101011100011110001100000110011111111011011011001001010010111101111110011110010011010111111110001111101001110111111101000111011101000111010011010010001001101001010010101011000010101001011010100001011011000111", + "INIT_8": "0010000101011101001000101110110101111101000000110100111111110000011100110100101011001101001100000101110100101111100001110110010010010100101110101001011100001100101100110110010011001100001101110011100101110011110110000010001110001001101011101010101110100101", + "INIT_9": "1010100110101111101110010011111101001001110101110100001101100101111101010110111011000001001001100000100111000101111100011101001111011010000111011110110001111010000011101100101101101011110100000110110111101011000011111011011111101000100110001001011111001011", + "INIT_A": "0111101110010010100001001111010110000001100000001010101101010111111110010011110001000001001101110010111010011011110110010100000010110011010010010110100111100110101111011011111011100111100001010110100100110111001110000011001001100101000100101101000110010110", + "INIT_B": "0000111011010101011010001011010110010001100010000111100000101100011001100100010110011000000001110000110111100001100111001000101011100011101101101100101000001101100101000010011110000011000011110000001011100100110100101001001000000100010101010100001000001110", + "INIT_C": "1010111111100110110011010100010011100110101100100001010010101101101110111000000000101010110101001100011010001100010110010000111110111000010010110011110011110100001110000110110001001100001111000110011000010011001000010110110010011001101100100110101111010101", + "INIT_D": "0011001100101011011100110100010010100111001011000110010000110000101100000101111001100111110000101001010111101011110000100001000010000110000100001010101110111111101001111110110000001000110011100101010100010011000001000011101110111000010110011010011010111111", + "INIT_E": "0100001000110100000000011001000011111000111011001101100010100111110000111011000101010110111110011010011001000100101010001010010001010011011100011000100111011000100110000000010111000101100010000110001000010110111000001011001010111111011111101001110001110011", + "INIT_F": "0000101011000111111101011000100001111110101000111110000110010101010110011100011111000110010011011100101111010000110011000011010010110000110110100100110011001010011111011001101010001001000010110110010011011011100101100101111110010101111111001011001011010100", + "READ_MODE": 1, + "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, @@ -6371,15 +6237,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], + "RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ], + "RCLK": [ 75 ], "RCLKE": [ "1" ], - "RDATA": [ 82, 83, 84, 40, 85, 86, 87, 88, 89, 90, 91, 43, 92, 93, 94, 95 ], + "RDATA": [ 40, 76, 43, 77, 21, 78, 22, 79, 35, 80, 36, 81, 17, 82, 41, 83 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ], "WE": [ "1" ] } }, @@ -6387,24 +6253,24 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001", - "INIT_1": "0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010", - "INIT_2": "1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111", - "INIT_3": "1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010", - "INIT_4": "1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110", - "INIT_5": "0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100", - "INIT_6": "0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111", - "INIT_7": "1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100", - "INIT_8": "0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110", - "INIT_9": "1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000", - "INIT_A": "0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001", - "INIT_B": "1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000", - "INIT_C": "1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101", - "INIT_D": "1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011", - "INIT_E": "1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011", - "INIT_F": "1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101", - "READ_MODE": 3, - "WRITE_MODE": 3 + "INIT_0": "1100001001001000011000110111111010111101110001100000010100101100101101011000100101110111101011011100100000001010001110111001110011101010001001000100100110110111100001000010011100110001100000011001001000001001000010011011000000001000100111001101001011111001", + "INIT_1": "0000000100101011100000010111111101010011111100001110111010111001101010000011101110110111101110100101100000111010100111001011111101110101100011111011010000010100111101101100011000010111110110001100110111001111000110000000001010001101001000001001110111111100", + "INIT_2": "1001110001011011011011010111100010011001101001100101010100111100011111110111001101100010010000100000111101010001111111011011011100111001111111010111010111000111100011001110110111000010010111110110110001000011010110011111101000110001001000110100011111101100", + "INIT_3": "0001100101000011010111101110010100101001000010100100111110010101010110111011010011111011001100000101010110101001010000111110010000011011011100000011010011101000001101100101111000000101111110101011010110001010100110001000011101010100010101100011001111011000", + "INIT_4": "1011110110001001101111101010101010000101101010100100111010111000110000101000101000100110010111100010101111111101100001110110111101000101010111101111100111111100000101000000001001110010111110010111010001000110000110010110000010001000111001010011111011010100", + "INIT_5": "1001110110111101111001100000000111100111101100000011000011111100000111001001110100001011001000111111110111011001100101001100111100010111110000111111101110000000111011100101101110000101101011111100011011101110110111101111011001101100011101100011011101011001", + "INIT_6": "0000011110110101011111011011101000101010111001000010011011000010110101100011111000010111010010101011100111010101101001110101110011111111011111111101000100111110000000000111011111100001010001110101001100110110000100010000100111110000101100101101000110111010", + "INIT_7": "0111100111100100110111101001010100110000000010101001110100110101001010100001000010010000100101100111000010100101111110000110001110011001101111000001100011111110001100100000110100100011110110001001001111011101010010111001011110110100100110000001001101100110", + "INIT_8": "0011110011001001010000010000010001001110011101110101111100110101001101110001001101001001111110001010001001000011001101101100100100111000111010110111110001110001000011000000001101111100001001111101101011100010010000101100100000100100101110000111000000100011", + "INIT_9": "0111110001010001101111110100111001010111111010010111010010100001000011000001000011100100101001000111001000100010001111111111101111011000110001011110000010010000000000000010001101011111011010001000011111100111101010111101000001010001001110011101000010010011", + "INIT_A": "1001100011110100001110111111100011011110001110110101100100101000011001011100110010011001110100110111110101111110110110100110001101100100010100111110001010100111111100100011110011111010110001111100110001011111001111010100101010111011001010001011100000101101", + "INIT_B": "1001100011100000011111110101100110000000001111101011101100010000111010000011110111010011001111100101001001010001010111001010100001001101101010100010001100011001001011100010000011100010100101000010011110000101100010000001100110111010110000011011111010111111", + "INIT_C": "0100100101100111001101000110000110011011100001111010000000001101010011010000101111000011010111011011010101111001011001111101000010010011101110101010110110010110100111111100011101010011111010001000001100001110011101011100010111010011110001101001001110111110", + "INIT_D": "1000111000110011001001111001111111110110010000110101000101011000110010101111110001111010101111010111100000011010000101101000101000010101110010111011001000101011101101100110011111010011111001011111011010011100000101011101100100110001001000001111100010110101", + "INIT_E": "1001011101110011100001011100011110000110110110100011011100110100110010001111101010101011010010101010011000110001010101110011000111000100010111011110100100001100110000011001010001000001110011100101100101100001101000010001111101001000111100000010110111001010", + "INIT_F": "1100100101011111001010000010111000001010010010100010101100100111110011111000001111100111111000100000111111111101010110001001001110111001000100111110001001101110011101010101001011011001110111111010111000011011100011110001000001111101001111001000110111110011", + "READ_MODE": 1, + "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, @@ -6425,339 +6291,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], + "RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ], + "RCLK": [ 75 ], "RCLKE": [ "1" ], - "RDATA": [ 96, 97, 98, 21, 99, 100, 101, 102, 103, 104, 105, 22, 106, 107, 108, 109 ], + "RDATA": [ 18, 84, 31, 85, 23, 86, 42, 87, 19, 88, 32, 89, 24, 90, 50, 91 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.10.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": {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}, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 110, 111, 112, 38, 113, 114, 115, 116, 117, 118, 119, 33, 120, 121, 122, 123 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.11.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011", - "INIT_1": "1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011", - "INIT_2": "1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101", - "INIT_3": "0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111", - "INIT_4": "1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111", - "INIT_5": "0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110", - "INIT_6": "0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110", - "INIT_7": "0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101", - "INIT_8": "0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010", - "INIT_9": "1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101", - "INIT_A": "0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000", - "INIT_B": "1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000", - "INIT_C": "0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010", - "INIT_D": "1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001", - "INIT_E": "0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011", - "INIT_F": "1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 124, 125, 126, 34, 127, 128, 129, 130, 131, 132, 133, 45, 134, 135, 136, 137 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.12.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100", - "INIT_1": "1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110", - "INIT_2": "0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010", - "INIT_3": "1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100", - "INIT_4": "1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000", - "INIT_5": "0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000", - "INIT_6": "1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001", - "INIT_7": "1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101", - "INIT_8": "0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101", - "INIT_9": "0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110", - "INIT_A": "0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000", - "INIT_B": "1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001", - "INIT_C": "1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101", - "INIT_D": "0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100", - "INIT_E": "0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100", - "INIT_F": "0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 138, 139, 140, 30, 141, 142, 143, 144, 145, 146, 147, 26, 148, 149, 150, 151 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.13.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011", - "INIT_1": "1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011", - "INIT_2": "0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110", - "INIT_3": "0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101", - "INIT_4": "0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110", - "INIT_5": "0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111", - "INIT_6": "0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000", - "INIT_7": "1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000", - "INIT_8": "0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100", - "INIT_9": "1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110", - "INIT_A": "1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010", - "INIT_B": "0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001", - "INIT_C": "0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110", - "INIT_D": "1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011", - "INIT_E": "1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101", - "INIT_F": "0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 152, 153, 154, 48, 155, 156, 157, 158, 159, 160, 161, 52, 162, 163, 164, 165 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.14.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001", - "INIT_1": "0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010", - "INIT_2": "0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111", - "INIT_3": "0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000", - "INIT_4": "1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101", - "INIT_5": "1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100", - "INIT_6": "0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000", - "INIT_7": "1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110", - "INIT_8": "1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100", - "INIT_9": "1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100", - "INIT_A": "0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000", - "INIT_B": "0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110", - "INIT_C": "0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100", - "INIT_D": "0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011", - "INIT_E": "1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101", - "INIT_F": "1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 166, 167, 168, 20, 169, 170, 171, 172, 173, 174, 175, 46, 176, 177, 178, 179 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.15.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011", - "INIT_1": "1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001", - "INIT_2": "1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110", - "INIT_3": "1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000", - "INIT_4": "1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001", - "INIT_5": "1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111", - "INIT_6": "1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101", - "INIT_7": "1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011", - "INIT_8": "0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100", - "INIT_9": "0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110", - "INIT_A": "1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010", - "INIT_B": "0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010", - "INIT_C": "1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101", - "INIT_D": "1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010", - "INIT_E": "0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011", - "INIT_F": "1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 180, 181, 182, 27, 183, 184, 185, 186, 187, 188, 189, 49, 190, 191, 192, 193 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ], "WE": [ "1" ] } }, @@ -6765,24 +6307,24 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111", - "INIT_1": "0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101", - "INIT_2": "1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011", - "INIT_3": "0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100", - "INIT_4": "0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110", - "INIT_5": "0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001", - "INIT_6": "1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100", - "INIT_7": "0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010", - "INIT_8": "1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111", - "INIT_9": "0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011", - "INIT_A": "0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001", - "INIT_B": "0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110", - "INIT_C": "0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111", - "INIT_D": "0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010", - "INIT_E": "1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000", - "INIT_F": "1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110", - "READ_MODE": 3, - "WRITE_MODE": 3 + "INIT_0": "0001010101010000111110010010001111000101101001000011000011000101110100111110000110100100010001011111111111101011000000010101110001000011101011011010110011000111110100111001010011010101101101011000110111010010001011110001111101001101011101010111011111111000", + "INIT_1": "1110010001100111110101010101000010010100000100101100100011100110000001101001000100001101110100100100111110100000101100111111010011000011110111100111110011111000100100000101001111100011110101010000001010000000001010100001110011100101011111010111110111011101", + "INIT_2": "0001111111100101111011000010010010001001000111111101001011010000010100001001001110110011000000001001001000111010011100110011010010010101001101101100010110100011101111111101000100111101010101011011011001000000100101011111101001100000000100111001111101100010", + "INIT_3": "0010111100110110100011101101100100100010101000001101111000000001000000101000110110010111001100010101000000010111011011011001100010101011001111001100101110101001111011001000000010100101110000000110111111101010111011111110001010110110101100101111111111001111", + "INIT_4": "0111000101010110111001101001111000111111110000000011110100110001000111110111100011010111111101000111000111000001111011011000011111111001001101110011110000001011110101111011000101011100001101100111000101010101001011101101101101000100101110011111111100110100", + "INIT_5": "0101010010011101011011010101000011111001010010110101010001010010111100100010110001101100110000011001111001010010111011111011111011100000010101101100100011100001010101100110000101000110110111010000110110111110101000100101010010000111111010000010011110111100", + "INIT_6": "0100100000010100111111010011001010001001011110000110010100111110110001011111110011111011000001100000000011001110010101000101111111010010010101111010010011011011110011011001001110001101000010000010011111000010111010011000010111100010110001111110010101010110", + "INIT_7": "1111011110010001000101011010010100010011010101110100011111101101100101110110011000111000101100011100010001100110100110000101000100111110011011000111011101010101101101010101001100110100000101000111000101111010000111100010110010000010000011100001110011111110", + "INIT_8": "0011000001001111001001110001101011101111000011000111101100100000000101111100011000100110101111001101101101001011110100110111000111110110110010111001111000110101001100100000110100010111001111000010001110110111100110101011000000000100110100011110010100100011", + "INIT_9": "1011000011100011110101110100010111101010110101010111011011000101110001000100100010010101111001000100010101011000111111101111111000101011001000111101110110011111111001010100111101010101011100100110111101001001101011011011111011111000100011110001111011010001", + "INIT_A": "0010110111111100000001000001110100010011011100101000000010100001101000110010100000011111100010000110011010101001100000100110100110011110010010010011010100101001100010111001010000100111001000110111110111001100000111001101010000001101000001110000101011000101", + "INIT_B": "0010101010001000110110110100000011000100100100111010111100110001100111001010111000001111000110011101110000101100010110001011111110110101100111111101000000000100010011011101100001000110111111100011110101100100010010011110001101110101001111011000010011110110", + "INIT_C": "0110101011001010100011101000110011111010011100110011001010001100100110101011101011001001110110110011010100001000101001011010101100111111001000011000100100011101110000000110001010010001011100100101101000100100010111001111100000110111011111100010101010010011", + "INIT_D": "0000100011101110101011001000000110101110111111011010100001010000100110011011101011110100100011101011100111000011101110010000010110011110101010000010000011000000111100010100001100001010000110110110111011000011100101010101001011010110011110000001111101100001", + "INIT_E": "1000011111001010101110010110011111100010001100011111001111001100000000010101011100100011101110111111011011101101100001111011000010011010011110011110010100000001010101011101011010001000101100111110111000000000010100100100000000001110110111011011010000110101", + "INIT_F": "1111101111111000100101010101100001010100110101111000111011111101110011001100110111100110001100010001111101111001011101000100000010011010011010000100101101110010000110111101010100101001001000000111101110000011010101101000100010101100010110111010100000101010", + "READ_MODE": 1, + "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, @@ -6803,15 +6345,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], + "RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ], + "RCLK": [ 75 ], "RCLKE": [ "1" ], - "RDATA": [ 194, 195, 196, 35, 197, 198, 199, 200, 201, 202, 203, 36, 204, 205, 206, 207 ], + "RDATA": [ 37, 92, 51, 93, 25, 94, 44, 95, 38, 96, 33, 97, 34, 98, 45, 99 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ], "WE": [ "1" ] } }, @@ -6819,24 +6361,24 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010", - "INIT_1": "0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100", - "INIT_2": "1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000", - "INIT_3": "0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100", - "INIT_4": "0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101", - "INIT_5": "1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101", - "INIT_6": "1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100", - "INIT_7": "0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101", - "INIT_8": "1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110", - "INIT_9": "1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101", - "INIT_A": "1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001", - "INIT_B": "0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100", - "INIT_C": "1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110", - "INIT_D": "1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010", - "INIT_E": "1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101", - "INIT_F": "1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111", - "READ_MODE": 3, - "WRITE_MODE": 3 + "INIT_0": "1100000110010100101101110101000001101101011000011010000011100101000110011010110100001011111001000101110111110110010101101110000001000100101001011101100010011010111111001100001110111011110110011010000110110001101010010000110101000100101111010011000100111100", + "INIT_1": "0110110010110111011011110001110010110001010000000001001101110000000110101001011111011010111100101010011110000011001110101110100110001110011010100010010000010011101101100001001000011101110111101000011110101110011010000101000101010011111101111101111010111010", + "INIT_2": "0010000000000011110111011000101100011100010101110110101001110001111010011101010010101101000011100000000000100100110101101001100000110110101110001110001010000101100100010010001010010100011011101010101001111000011100001010010110010110010000010110101111100010", + "INIT_3": "1000001100000111101100011110101110110111111010001001010100001000000110100100111001100101100000010110011101100011100101101010001101001010000111011101000011100100000110110011101110001000110011000010011100101111001001110011011110011001001111110100010011010000", + "INIT_4": "1100101100101011101011010000110100001010110001100000111110000010011111000001100111111111111111001100001101011111000111111110000111000011100100011001110101010001011101100111000000001011011110100111111101111011001010001010011000010010010001100001000110101100", + "INIT_5": "1111111001000010010100000110101101011011100000000101011111001001010101110001001001011010101011001100101011001110101111001001100010101011100001001100011010000000111111110111000001000001001011010110100010010100101110110001100110001000000010001011000011110000", + "INIT_6": "1011110100111011101100000000000101010010010001010001100011010101001101010011100111011011111110010000110100010001111111100101101010000101110111010010111100000100101001101101111100010011000010110000001101101011111001011111010010000011010001111101010000001001", + "INIT_7": "1101011000101101011010110110010000001111001110000101110100000001110101111000101100111101000100011110001101100111010010110111100111110011110011101110011000110001000011100101111010110111101010101111001101000010101111110100100000100110010011110111111001000001", + "INIT_8": "0111000010000101010010001110111011101101111011001100110111100110101010100011110010101110111101010110100001000110000010100001000011011000100110010111101100001001110010011101101000100000001111010001000111000001111111000101000011001110110110100100000000001001", + "INIT_9": "1011011111001001010100010011111110100010001101010000100011000011110011001000110111010100000001101101001000001001010010010011000100001110000100010011011011011111000010111000010110100110111000101110001110100100100000010111010011000001011011111110110011100110", + "INIT_A": "1001011101100011110010100010010001001101011011110001001100110001010101001100101000000001100110110001111101001100010011001000011010101110000001000100000111000101000010001000111110001010010010100101111011101000010111101110010101000000011111001010010011100100", + "INIT_B": "1110001010100011011100100101100010010010010111011111110110000001101100110101001001100101000110100000010010100001100111010100111110001011001100111100001010100000101101100101100001010000100101001110000010010010011001001001000111111011111100001010111001011101", + "INIT_C": "1100000101000010011110110010010111110011010010100110001001110001011110111111010101010101000110111010100100001110100000000010101101011100110011001010101111100010101011001100000000101010100111101000011101010011110110110010100100101011010111111001100001100101", + "INIT_D": "0010011000100001111011010011110000000111001011111000000010011001001000010111101001111000000001101101010011101001111011001011101101101111111010110100011000001101010110000000010011011001100111101001110011010011101100101010111100011101011010101010001110110000", + "INIT_E": "1101000110010110100111110101000110100111110000101001110001101110100110000001110000110101101000001001110101100100000110100100110011110101111000011101000111011100101101001001010111111000011010011010011101111001000101110000011100001101011010010011110111010000", + "INIT_F": "1001101111011100110111000111111010000110011101000011101110100001101101111000110100011100101000001001000110000100001110000011010111111101100010001010001010010101011101011001010110000001001101111010010001111010000001101000111000011110010111111001110111110110", + "READ_MODE": 1, + "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, @@ -6857,339 +6399,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], + "RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ], + "RCLK": [ 75 ], "RCLKE": [ "1" ], - "RDATA": [ 208, 209, 210, 17, 211, 212, 213, 214, 215, 216, 217, 41, 218, 219, 220, 221 ], + "RDATA": [ 30, 100, 26, 101, 48, 102, 52, 103, 20, 104, 46, 105, 27, 106, 49, 107 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.4.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101", - "INIT_1": "1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100", - "INIT_2": "1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100", - "INIT_3": "1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000", - "INIT_4": "0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100", - "INIT_5": "0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001", - "INIT_6": "1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010", - "INIT_7": "1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010", - "INIT_8": "1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011", - "INIT_9": "0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111", - "INIT_A": "0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101", - "INIT_B": "0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011", - "INIT_C": "0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110", - "INIT_D": "0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101", - "INIT_E": "1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110", - "INIT_F": "1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 222, 223, 224, 18, 225, 226, 227, 228, 229, 230, 231, 31, 232, 233, 234, 235 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.5.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011", - "INIT_1": "0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011", - "INIT_2": "1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010", - "INIT_3": "0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101", - "INIT_4": "0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101", - "INIT_5": "0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101", - "INIT_6": "1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111", - "INIT_7": "0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110", - "INIT_8": "1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010", - "INIT_9": "1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101", - "INIT_A": "0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110", - "INIT_B": "1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011", - "INIT_C": "0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011", - "INIT_D": "1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111", - "INIT_E": "1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100", - "INIT_F": "1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 236, 237, 238, 23, 239, 240, 241, 242, 243, 244, 245, 42, 246, 247, 248, 249 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.6.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010", - "INIT_1": "1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001", - "INIT_2": "0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111", - "INIT_3": "0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111", - "INIT_4": "1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010", - "INIT_5": "0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111", - "INIT_6": "1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101", - "INIT_7": "1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011", - "INIT_8": "0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100", - "INIT_9": "0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000", - "INIT_A": "0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100", - "INIT_B": "1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010", - "INIT_C": "1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011", - "INIT_D": "0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000", - "INIT_E": "0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001", - "INIT_F": "1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 250, 251, 252, 19, 253, 254, 255, 256, 257, 258, 259, 32, 260, 261, 262, 263 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.7.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101", - "INIT_1": "0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101", - "INIT_2": "1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100", - "INIT_3": "0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111", - "INIT_4": "1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111", - "INIT_5": "0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011", - "INIT_6": "0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001", - "INIT_7": "1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001", - "INIT_8": "0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111", - "INIT_9": "1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001", - "INIT_A": "0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111", - "INIT_B": "0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111", - "INIT_C": "1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101", - "INIT_D": "0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011", - "INIT_E": "0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010", - "INIT_F": "0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 264, 265, 266, 24, 267, 268, 269, 270, 271, 272, 273, 50, 274, 275, 276, 277 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.8.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100", - "INIT_1": "1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001", - "INIT_2": "0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110", - "INIT_3": "0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011", - "INIT_4": "1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000", - "INIT_5": "1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000", - "INIT_6": "0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010", - "INIT_7": "0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010", - "INIT_8": "1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111", - "INIT_9": "0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001", - "INIT_A": "0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001", - "INIT_B": "0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110", - "INIT_C": "0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111", - "INIT_D": "0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101", - "INIT_E": "0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001", - "INIT_F": "0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 278, 279, 280, 37, 281, 282, 283, 284, 285, 286, 287, 51, 288, 289, 290, 291 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], - "WE": [ "1" ] - } - }, - "mem.9.0.0": { - "hide_name": 0, - "type": "SB_RAM40_4K", - "parameters": { - "INIT_0": "0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111", - "INIT_1": "0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101", - "INIT_2": "1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010", - "INIT_3": "0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000", - "INIT_4": "0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111", - "INIT_5": "1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011", - "INIT_6": "0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001", - "INIT_7": "0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111", - "INIT_8": "0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110", - "INIT_9": "1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001", - "INIT_A": "1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100", - "INIT_B": "1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011", - "INIT_C": "0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001", - "INIT_D": "1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010", - "INIT_E": "0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011", - "INIT_F": "1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110", - "READ_MODE": 3, - "WRITE_MODE": 3 - }, - "attributes": { - "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" - }, - "port_directions": { - "MASK": "input", - "RADDR": "input", - "RCLK": "input", - "RCLKE": "input", - "RDATA": "output", - "RE": "input", - "WADDR": "input", - "WCLK": "input", - "WCLKE": "input", - "WDATA": "input", - "WE": "input" - }, - "connections": { - "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], - "RCLK": [ 81 ], - "RCLKE": [ "1" ], - "RDATA": [ 292, 293, 294, 25, 295, 296, 297, 298, 299, 300, 301, 44, 302, 303, 304, 305 ], - "RE": [ "1" ], - "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], - "WCLK": [ "0" ], - "WCLKE": [ "0" ], - "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ], "WE": [ "1" ] } } @@ -7211,72 +6429,72 @@ }, "$0\\memadr[10:0]": { "hide_name": 1, - "bits": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "bits": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, 108, 109 ], "attributes": { "src": "memtest.v:29" } }, - "$abc$408$n40": { + "$abc$358$n40": { "hide_name": 1, "bits": [ 9 ], "attributes": { } }, - "$abc$408$n41_1": { + "$abc$358$n41_1": { "hide_name": 1, "bits": [ 14 ], "attributes": { } }, - "$abc$408$n42": { + "$abc$358$n42": { "hide_name": 1, "bits": [ 15 ], "attributes": { } }, - "$abc$408$n43_1": { + "$abc$358$n43_1": { "hide_name": 1, "bits": [ 16 ], "attributes": { } }, - "$abc$408$n44": { + "$abc$358$n44": { "hide_name": 1, "bits": [ 10 ], "attributes": { } }, - "$abc$408$n45_1": { + "$abc$358$n45_1": { "hide_name": 1, "bits": [ 28 ], "attributes": { } }, - "$abc$408$n46": { + "$abc$358$n46": { "hide_name": 1, "bits": [ 29 ], "attributes": { } }, - "$abc$408$n47_1": { + "$abc$358$n47_1": { "hide_name": 1, "bits": [ 11 ], "attributes": { } }, - "$abc$408$n48": { + "$abc$358$n48": { "hide_name": 1, "bits": [ 39 ], "attributes": { } }, - "$abc$408$n49_1": { + "$abc$358$n49_1": { "hide_name": 1, "bits": [ 12 ], "attributes": { } }, - "$abc$408$n50": { + "$abc$358$n50": { "hide_name": 1, "bits": [ 47 ], "attributes": { @@ -7284,134 +6502,38 @@ }, "$auto$alumacc.cc:474:replace_alu$167.C": { "hide_name": 1, - "bits": [ 306, 307, 57, 60, 63, 66, 69, 72, 75, 78, 55 ], + "bits": [ 110, 111, 54, 57, 60, 63, 66, 69, 72, 112, 113 ], "attributes": { "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:43" } }, - "$techmap191\\mem.0.0.0.A1DATA_16": { + "$techmap179\\mem.0.0.0.A1DATA_16": { "hide_name": 1, - "bits": [ 82, 83, 84, 308, 85, 86, 87, 88, 89, 90, 91, 309, 92, 93, 94, 95 ], + "bits": [ 114, 76, 115, 77, 116, 78, 117, 79, 118, 80, 119, 81, 120, 82, 121, 83 ], "attributes": { "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap193\\mem.1.0.0.A1DATA_16": { + "$techmap181\\mem.1.0.0.A1DATA_16": { "hide_name": 1, - "bits": [ 96, 97, 98, 310, 99, 100, 101, 102, 103, 104, 105, 311, 106, 107, 108, 109 ], + "bits": [ 122, 84, 123, 85, 124, 86, 125, 87, 126, 88, 127, 89, 128, 90, 129, 91 ], "attributes": { "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap195\\mem.2.0.0.A1DATA_16": { + "$techmap183\\mem.2.0.0.A1DATA_16": { "hide_name": 1, - "bits": [ 194, 195, 196, 312, 197, 198, 199, 200, 201, 202, 203, 313, 204, 205, 206, 207 ], + "bits": [ 130, 92, 131, 93, 132, 94, 133, 95, 134, 96, 135, 97, 136, 98, 137, 99 ], "attributes": { "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap197\\mem.3.0.0.A1DATA_16": { + "$techmap185\\mem.3.0.0.A1DATA_16": { "hide_name": 1, - "bits": [ 208, 209, 210, 314, 211, 212, 213, 214, 215, 216, 217, 315, 218, 219, 220, 221 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap199\\mem.4.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 222, 223, 224, 316, 225, 226, 227, 228, 229, 230, 231, 317, 232, 233, 234, 235 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap201\\mem.5.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 236, 237, 238, 318, 239, 240, 241, 242, 243, 244, 245, 319, 246, 247, 248, 249 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap203\\mem.6.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 250, 251, 252, 320, 253, 254, 255, 256, 257, 258, 259, 321, 260, 261, 262, 263 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap205\\mem.15.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 180, 181, 182, 322, 183, 184, 185, 186, 187, 188, 189, 323, 190, 191, 192, 193 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap207\\mem.14.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 166, 167, 168, 324, 169, 170, 171, 172, 173, 174, 175, 325, 176, 177, 178, 179 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap209\\mem.13.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 152, 153, 154, 326, 155, 156, 157, 158, 159, 160, 161, 327, 162, 163, 164, 165 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap211\\mem.12.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 138, 139, 140, 328, 141, 142, 143, 144, 145, 146, 147, 329, 148, 149, 150, 151 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap213\\mem.11.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 124, 125, 126, 330, 127, 128, 129, 130, 131, 132, 133, 331, 134, 135, 136, 137 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap215\\mem.10.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 110, 111, 112, 332, 113, 114, 115, 116, 117, 118, 119, 333, 120, 121, 122, 123 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap217\\mem.9.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 292, 293, 294, 334, 295, 296, 297, 298, 299, 300, 301, 335, 302, 303, 304, 305 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap219\\mem.8.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 278, 279, 280, 336, 281, 282, 283, 284, 285, 286, 287, 337, 288, 289, 290, 291 ], - "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", - "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" - } - }, - "$techmap221\\mem.7.0.0.A1DATA_16": { - "hide_name": 1, - "bits": [ 264, 265, 266, 338, 267, 268, 269, 270, 271, 272, 273, 339, 274, 275, 276, 277 ], + "bits": [ 138, 100, 139, 101, 140, 102, 141, 103, 142, 104, 143, 105, 144, 106, 145, 107 ], "attributes": { "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" @@ -7419,7 +6541,7 @@ }, "clk": { "hide_name": 0, - "bits": [ 81 ], + "bits": [ 75 ], "attributes": { "src": "memtest.v:23" } @@ -7433,7 +6555,7 @@ }, "clkosc": { "hide_name": 0, - "bits": [ 81 ], + "bits": [ 75 ], "attributes": { "src": "memtest.v:18" } @@ -7461,7 +6583,7 @@ }, "memadr": { "hide_name": 0, - "bits": [ 7, 6, 58, 61, 64, 67, 70, 73, 76, 79, 54 ], + "bits": [ 7, 6, 55, 58, 61, 64, 67, 70, 73, "x", "x" ], "attributes": { "src": "memtest.v:15" } diff --git a/memtest/mem.init b/memtest/mem.init index c782677..2d3db9c 100644 --- a/memtest/mem.init +++ b/memtest/mem.init @@ -510,1539 +510,3 @@ daaab929 9409337d a78267ca bafea339 -8b0d6193 -4362e44c -54083cdb -443218ba -ff8526c2 -7aa5e4d8 -31cbbde1 -d1ede902 -a65287d2 -d20d9096 -c0c34607 -1111eab0 -aba2d429 -a7fb7964 -2da9367f -522a5978 -0904e958 -b5758689 -b50f1c55 -862783db -07b29eb3 -8b5be681 -4a2abfac -f3eb1fab -e87aa88c -6f9e1d6d -1c9ecfb4 -181b89a8 -a5f38c85 -fe47293c -235ae748 -588b1a29 -5ee9db2e -74ef6e40 -02e89597 -36e3dad2 -85ee2756 -cb7b80aa -1e562015 -b97ad255 -7e441977 -0438d9be -b7d83895 -f8a19cf4 -2b921a8f -112eeeda -6939bb24 -00bf6232 -adfa5cf2 -c3b2ee7c -63641470 -a9ec7a75 -1b62ed6a -c09c86c5 -1f2613df -313a701b -2587bea7 -0fa56f90 -a78be5b5 -7e3f4677 -902266ef -31ac71d0 -8665e34b -f724787d -364c4776 -f064519f -b1d335b8 -de34670e -a235bfd4 -9bbda3bd -219983f6 -e1349f95 -089ff814 -6308aa8a -436a4992 -37a3247a -32cae770 -bebc048e -3c1c7398 -9f6118d9 -f6421466 -64088995 -579a1e80 -efee79ef -2d79a5c2 -412e1344 -5790ba1b -7ad99e5e -84797a09 -03ebd189 -6a16df8a -045ed9df -089d4895 -4d92b9fe -dd1573aa -7acdce8a -6af2b4f4 -f819fd28 -78f7d150 -4652bcec -5ba9d6a3 -f29b8a41 -84dc1b5e -ba72dde3 -f15df4ca -a25f58a2 -00f602ab -9e9f8d08 -0c7f3489 -0a894ad8 -55de58bd -90c3aca3 -b1dc0ce4 -66200f76 -385d83ad -397c0259 -b06ed720 -9998a9e9 -13be4a5d -b98e3ad7 -17377101 -0d24873a -1e41927f -9aff73cc -b6acb411 -8b692952 -282b4a8d -28537ad0 -f515527c -da0d46fd -5d9e1e62 -3328465b -bc265428 -2df84a3f -11bcc5c8 -3e374744 -c3da8ffd -eba09c5b -d368fb1e -ca166db1 -20e68c23 -9f496652 -0ae72099 -2bcf5969 -f55085ca -01bcb7b4 -ddac62ad -f88e78ed -5b656f5e -6767d5ad -f1971168 -bfa82efd -d1e5e844 -e7085824 -1a5f4840 -afe101bf -bd748a58 -2b3e3757 -19c89a5d -d93e761e -a0acd7a2 -928a8b58 -3cb4b3cc -dc6d169c -e78ad761 -0b3a129c -1d555052 -d5fd457b -2282ba36 -553043ba -63a870e3 -e9f46009 -0ccf4337 -0647470d -fc3d077e -7a57af83 -98216078 -d53bcb73 -dd967d87 -8f72805a -8b374924 -d658f27f -f9ef8452 -741e407c -bcf7a778 -de437902 -5cd1fa87 -51ecc5f7 -3f660758 -2102a29c -0abd278c -b5f98012 -dda36bd5 -90cd516f -c314fad1 -e16841ee -97b032b2 -0d63283f -c4791ee1 -1979ac75 -93ec3d04 -3e6a1f72 -9295aefe -5da0ebe1 -25843b87 -46a1255d -64d114ea -46b2d10a -ab312f2b -1adf065b -e24cd6ab -041ff96b -6f48116c -29f86ede -1c4e5447 -1e7451b4 -7767e181 -adc9624a -ed3aa7cc -9080870f -ee105cdd -5d4481e4 -b1ff430e -e4cff3d5 -1d98a769 -93da0b59 -afc923c3 -8cdd2665 -0144a7c9 -03f5fe51 -34f57ade -51dd9533 -d145fe0e -c1d76ce8 -e4c321bb -277dfae3 -d90c386f -7053c559 -8656698f -c78430d4 -76a646f5 -9ad28329 -c2a235f8 -6d8636f0 -50b080ae -a75ef5d2 -8c7a8c44 -95ed7813 -57a8d39e -f485f2ad -827bdd19 -958fe6c9 -82bcfd9c -48754d69 -8f28d765 -f68a4709 -3ab2699a -c03b201c -8f8b478e -8dd5f9f9 -690fca52 -46e55436 -0870e505 -1c7f888b -abd684b6 -2b8cd8a8 -d7120348 -e11f0ff3 -20c99a3f -cb14582a -ef8a6ca2 -e300cfbf -8acc5a60 -2cc9b90a -0f1c43a0 -2e484d6e -834bbb58 -57283968 -24a44a46 -c185d4d4 -fe6942df -78241922 -dd32397c -cafaebb8 -f0742f36 -958a6591 -fb43d3d3 -66b19cf1 -b56985fc -803fa46b -05241ff6 -1b1a9a39 -e0a060c3 -c2eaa787 -a92a430e -d9a09d17 -b2ce7ab6 -6ff43b92 -e2ebb87b -11b7f911 -86536265 -5b51f300 -8014f07e -34cd0c3d -7bdfaa78 -6d70b85e -6c963489 -b05a3a0a -1140a0bd -7f374858 -030a0170 -b7fe4a44 -69b3f395 -9f9130ff -6b8500ac -3cc681a8 -e844665d -9a22e752 -bbdbed9e -d151f508 -c8eac57e -f196144a -ba5c5ce1 -b2508747 -b966b95b -c7d59f4d -0504c47d -2690acf4 -a1d315a1 -3ff04592 -5c5dbcb9 -d9faf550 -2527ba04 -4ed80775 -d96c8c4a -23d68d82 -b5c21ad1 -5a387c29 -bf9928c3 -a9b113b7 -efbf63d6 -9af21072 -a0efecba -64617198 -075d44e9 -cbbfe159 -b9947487 -f67b5aa7 -45ac3892 -8c3c78d7 -c93d1614 -d3f9a8e5 -7d5a9763 -886e8d18 -15a9a076 -7d0b6b43 -23923ec4 -825a3909 -9132f136 -dbf09ffc -9b20d255 -6c9c5dc8 -59ae32d2 -da2ba2aa -60838f25 -7e823ea4 -97f4eaa9 -10e39f4d -dfbe24ee -c97c3aff -021510c8 -cd0be9fc -ca8eff26 -a287f685 -86fb5d10 -e2f20b46 -04c7a2c7 -faac48ec -71d8c158 -6cc215c7 -79fb995c -b98abfbc -c8576510 -da6266de -ce6a3120 -4bda9eaf -d189362c -2679adb8 -0428336b -43360a15 -98000e87 -fa29c7c7 -dc5a0147 -837cc524 -657e2308 -2652b8e3 -0aa8b34a -09107ee2 -4eeea9b2 -1ce9a74e -11d3758a -71e2a13f -a63fec7b -9c8813df -2351c128 -12b30f96 -4b306b80 -570f9440 -f8f365b1 -0b8308ed -ac51202c -bbb16478 -19518561 -1197a9b7 -cae28755 -2d06280d -de9c22c0 -75d239c4 -54c6eb7f -3be633af -d0e9e04a -4a57760c -b9839989 -232785fe -075116ce -9c778fc4 -1a6eeb43 -3ffc7bf6 -a12183af -e9e8e713 -f2525906 -fc9689ab -649963aa -38d00836 -06e162ed -e90b6997 -15c5f9cb -c945c6a9 -e2734fad -41e7fe8e -9ac8cc2b -ce955e5b -bee65d84 -3ccf885d -ef833ab1 -8aa043d3 -65c4e1fc -fe255a97 -59ebf7a8 -4cbbcbd5 -29dc625b -fcfb71ee -4d7d314f -a8d40523 -392a67df -1e28a98f -e4c415d7 -ed4db0c2 -16e1eeee -b280221c -2098419d -36f01db0 -3932152f -0f38a172 -c7e1fc8b -2a708365 -cb71df9d -cab71284 -29ec65ef -10a11f3d -c3e40dc4 -67cee664 -2d6ee757 -3ab965fb -b0231410 -e1affcb2 -5045bd56 -ef693171 -03d9076e -410b02a0 -3c3541bf -7b4829d4 -09b6476b -dc782236 -d252bd29 -ce9559ee -23b32a9e -4399b57c -5a7f659d -02e3db27 -ed834352 -2e7b11a0 -7a19568b -ab2c9e1d -389c5d46 -2b39e222 -cfb6eda6 -a2ed0e99 -162a77da -e739eedc -c2887365 -701038ff -10689ccc -400e18b0 -898a43e0 -deac4413 -ed39bb36 -4f88bc81 -1b3cf1f9 -122de3b6 -dc2ce159 -2191779c -01ba2c07 -d8090498 -7b5d93a9 -31d459e7 -50807b8d -a0fd4f1f -3146739c -40e3fd60 -304f15a9 -14b7e516 -944483f4 -c9746075 -246c56c2 -6ad7da6a -2b6fe56b -8482a3a2 -6df1792f -bd5de095 -0e8d3508 -db4014ee -d6013116 -3ee5a2fd -3487e3be -5f1749c4 -24fd4f91 -665afdf8 -02933e5c -733a054e -3dec6b33 -22ab2a32 -d9fc362c -cb9b2b7c -b961a03a -9838170d -73e2dbcd -a18ff64a -1962fbd7 -c25c151b -09de7c74 -dee473e4 -5cb003de -6f20669b -52b6bf0c -cbefd4d3 -86241a2b -fbbea5db -5488a473 -f2c5fe1c -47a00c85 -461d5b2d -72772a41 -6a336bf8 -9a4b11fa -219dcaac -9d44b020 -9bfaef72 -1e10b246 -231f019a -9147cc6c -c6f922ff -74a767b8 -9ecd67fa -0973e2a3 -ac9f5ad2 -bc00408c -e733d43a -73b7b47e -11e37e5a -819714b0 -34a21aea -4d801133 -3be04920 -e3817fba -34aca2fb -3bcda958 -bbef48d1 -9b225f7f -51ad00d7 -ff844058 -5ddb2275 -32c05c03 -b8afc6a3 -5a4edb10 -654948aa -764d27f0 -82ece0ed -315d9ef9 -456f57aa -5d98cbdd -b372c276 -5be0073e -3be953db -f3f373d6 -82e72704 -d0c43e2f -10c42d11 -88e67e52 -389effb2 -7a2afda8 -2504b9ad -a81cc9ed -9999915b -aff74ca2 -2a517ec3 -1e6e4e29 -05b711d8 -07ea8962 -3781a44d -e3a8790f -11bdcba7 -a292c9c9 -378b79f2 -8ccade8e -1c47c76b -038512aa -4a77be30 -a1146b0c -793d2e60 -c11d33b6 -071eefb9 -6432cedb -d686a341 -77a51428 -a8c19324 -536d3f28 -f9257c6c -b10ff914 -6bacd8f6 -d8b76d95 -7d8699cb -e388fcac -b7003d38 -7335195d -aa8a8b6e -8d32eb19 -b2f26c0d -8f9c646e -ada24594 -9ab8f718 -2371f779 -9d65b582 -9ef0776c -cf117996 -9b7d6551 -dc664a6e -46b1a0f3 -1ca723d8 -66200a10 -5cf77443 -b3b9d0c8 -cd5f725c -d254c2de -19c2cf67 -56a4dee8 -bde5d5fc -2a4b2c51 -657b32d9 -d065e5e9 -04d755b5 -7cb28fd3 -df0ea5ef -5fb3bd4c -5c9aa809 -77056cfe -7291aef3 -cb703ec0 -b7a7aaac -74a2767b -47ab1c03 -86dffc68 -c81f4b51 -ba49587d -788e8a06 -ff03a3eb -ccdf3094 -f31957da -48ed07df -394003c6 -4ef79120 -e01c8125 -2f976d4b -6b104bcc -cba25754 -31f82ea4 -353dc50c -b8318eec -c8609076 -9cdd4daf -337d046b -aeed687d -7963fa6a -21468a5d -65ac83c7 -c87328c5 -852b2e0a -36a9e77d -ce54f088 -aee62fea -f0058b47 -55043595 -2b475064 -4662dbe3 -19436270 -07589f60 -d9ccc6cc -62131b04 -e6dc50ed -f7facc4b -071a9922 -c0bebb39 -3585eca7 -06aec0e3 -eb01fdd8 -0bf9af2f -11bebd65 -bf2bc404 -4147de9b -0445ded5 -2218199c -cba03325 -aa280425 -abd32de3 -c7970f30 -82d1d366 -350ff65a -1e988920 -a1aece6a -8a8431d9 -62e40de8 -f7a9265a -d175a0a5 -b3511b33 -f04719c0 -ae69be01 -84a77eeb -bd6fe9f8 -0c59cfaa -bc9baed4 -23903936 -91169db1 -a75df49d -0ed36486 -2f65dbc7 -eb2924bd -e6ea9a9e -bf08b02c -97572d68 -880ecd5d -8a163a5b -4c9a1a8b -36581bd4 -2d9162cd -d7f62b37 -c3e568cd -7b65c6cb -c1cdc5ed -7416b2e3 -03060506 -6bb89447 -1e589e61 -27a7f361 -6f6a6d5c -a48cac0c -74a63c2e -18258563 -0ea44661 -0000b609 -031626ad -ddab76f6 -f9f3b390 -aa95640c -1049997c -6a55a65d -d9587004 -77ecc06a -37b12998 -13277ab3 -b2c17f8f -311b0d53 -2f88765b -1500cdac -90d367a6 -fe68b391 -1e2e61ac -bc785ecd -0cc376b5 -7f9336b1 -67b88b87 -8b4453e1 -452244e7 -2b9c8450 -5c951e65 -b2bc7ceb -871ca139 -c59bbc62 -8a202059 -a59fa45e -0af38ea2 -cabb7145 -f4e7823e -dee699c0 -5264f3db -cd06009a -f2b05e2a -ddcad96e -fb5e28d1 -e7184a7b -09de054e -a424cd43 -796322c9 -8df18a18 -b1fcf28b -692103a5 -70ac0c2b -12cba743 -ed01726a -d5890866 -f6862a5a -28ea11fc -aefd12fe -547ee33f -9435cadd -231812e8 -154420dc -bce97abb -249f6968 -1b5f3337 -d956badb -d098aeff -b8296ff0 -be114927 -cc6e2ec9 -c829d7d8 -64cc26bf -00a1cbca -d9608b8a -eec6719c -649725c8 -5949065b -881d267e -cef974d1 -2b13d4ae -55226dc7 -18cbb0f6 -fc036626 -0e71daa9 -1bf93a31 -866ec29e -20491ad8 -5cc3caf9 -6678f4b3 -c38b5d6a -6b13179f -5bd4f399 -c885e64c -c109734a -5899db6a -6bfbf5fa -c8fd87d0 -2017587d -95d0914f -b48f51d3 -b238bf49 -1891a0cb -d3007ec5 -10b5ebb1 -2d8fe06a -dbf3459d -0e7e2247 -6cc5be18 -6293e305 -d1ca4ab8 -b5563792 -b88af8b0 -d88e7e5a -61ac4230 -fa0809f3 -c844f314 -e8db3d63 -d4f143ce -c5c917d2 -1f81bdfd -2990fac6 -a832fca4 -c16e0123 -cf055626 -4db0b6dc -5b5951a7 -f33fa3a5 -c4fc6cb6 -80d16cb9 -69bf05ea -c8b97d31 -8fb01030 -c4b06b33 -18b963b0 -7e7211b5 -0fd82739 -42e43676 -4675eb1f -01d0a86e -d3f57b60 -986c1e24 -e4f09570 -3a71f8cf -86dedb87 -7a1e249e -5b9e9e8c -d75b6e77 -94c1cde3 -9ca43a1f -c0220dc0 -a6d86c25 -cd6f2429 -0256af96 -750aad48 -c159c119 -bdd235d4 -b9520ae0 -e692c283 -44f99c0c -f24fdba2 -1fd4b151 -094bac38 -6cf090b0 -0fff6959 -e3bc0aef -b841eab7 -7c999ff0 -35b12cb7 -1e79e93a -f6465f6d -79398894 -44f37a71 -c9d27373 -bba08ad1 -aaf45d9c -89918a3f -ab144ca6 -127e415e -d0c32b2a -53067e0d -e204abf8 -a19344a7 -ce6e1b5e -0d0b557c -d6e4c19c -fea76687 -d3d88ba0 -c6e15bd2 -4b091b67 -de4073b9 -75817a1c -c12000ad -b4a30fa9 -cb897442 -a87bae44 -ecd967f3 -82275c96 -4b96da1c -928e9800 -d2b71557 -4fd85051 -78b96d2e -6c23beec -8d3a0849 -cc1838f4 -e8eebfd1 -03d2a085 -3c8aecbe -af64ed2c -327cfef6 -a43e6955 -3261eed7 -6770fa8e -7ff21c06 -6c92d43f -a52003f5 -3799ba62 -402770ae -55c6c14b -e9b72510 -2acb066e -124e3a1d -3f0abe8b -4efab6b6 -ad9cbd8a -60b9a3a6 -62699f7c -2b3e546d -a3fc80ac -cc1f64d8 -0469f17c -863f944f -ee102f68 -e4410b64 -6c6c4bda -43d35615 -55e22b59 -220f70f1 -7a959617 -91fd72d6 -2fb5af31 -092128e8 -55a47b80 -516e1b56 -38d89a00 -38f13c60 -a679554c -d464434a -e482a2fc -5d8809c4 -04d6af26 -3406837f -ddec3f41 -22458b59 -6352da82 -f5a3fd55 -2758aacb -157efe61 -2ebeaa42 -c34ec345 -6588a434 -d51ea8c5 -7f21000a -75c21e7e -41877a3a -847689a6 -42427155 -19f56d39 -dcf83f8a -c734a5fe -dd666e6f -e98e43a4 -7a8d7c71 -7b0fb5fa -20273cda -46f9f916 -66dbe40f -556c2e1a -50abeab5 -ca14b555 -02485fc4 -02202f94 -cb6aafaf -e24eea2e -dec01e2f -4df3d62d -e012f684 -ee4e2e75 -c14787d3 -28fdd409 -3d39709b -d101b2d0 -3e482dfb -0772c298 -ec07ce7c -411489ea -3412346e -f511c1f1 -410883a6 -d3b19a6a -28ec26f2 -a32a7783 -2011bed2 -4c35d8c5 -827165f9 -a849c2e6 -0b46964c -95b0e5a3 -401ea927 -2d3d9eb8 -a4e6ab23 -3189c614 -09260973 -789aa8a9 -5dddf744 -85e0ae0a -2a0c03b6 -cf973f6b -86bc9114 -28e8a7a1 -5a5f0c1d -e680535f -0f0c2922 -371eb26e -8bef77c9 -918dd6c6 -a4e6bed8 -79438778 -ca8239c4 -17506e79 -dd771bf8 -300a8802 -e9ab85b3 -2858d091 -61eb77ec -3a069a9f -6650d79d -1f59b152 -da8153dd -bfeb75ce -3e514037 -53f7e2b8 -1d4c89e2 -9f506442 -60c76f54 -a63e5a0c -022a4717 -780a6fc1 -468158f6 -bdbd33bb -89eec336 -b075406e -45bff5c6 -c18dea41 -489ee18d -41c36140 -af215271 -d283b908 -527ff067 -f6ec4838 -10304e9b -ba2d01c6 -4fb09eec -ec8b26bc -8f60b242 -d830b438 -627cb25b -655282e6 -3820c947 -9203d9dd -89fbf1eb -426c958a -90e2335a -365e2548 -ef2ca3b1 -c1140a42 -fa0d36b0 -3fbf5219 -b0fddbd1 -d353e222 -31f66b47 -40200b90 -58b6f113 -d95d953b -7a9b39b2 -4eae5bf2 -6d3b1ff0 -446f2980 -e593bddf -9c6457e5 -286f4e9d -089e2adb -df197145 -ba83a11d -ff20ab0f -b34a029d -2408d3c7 -fdc86555 -b4768cac -69d719f9 -ab47ac81 -357cd836 -e6cc5d61 -dd499e51 -0bb24138 -21ed356e -f1a1c6a2 -053f59b2 -0ef658da -4c71aeae -eee9afa0 -308853e0 -a03c2b1a -f2780d49 -2cb4e105 -6c051c69 -975c6185 -9deba20d -a175751e -b6fdcb65 -411739bf -cc392a5b -76522248 -adf225e7 -bf45f5a0 -dd325ac8 -47b4876d -9030abf3 -b287bed0 -ee4ca364 -5660e044 -46e8c526 -2760443c -5c20a0bb -cba30a82 -7503917d -eadd85a1 -50137615 -ad5b7e59 -40d9e5ff -dae69a04 -bbb3fe4d -9087fb0a -494f6dbb -679d9aed -d79bde61 -31d6656e -259b44ad -82bc827a -e88dfe59 -867a7c2a -c20ca285 -95c6d71f -bafb59d9 -108c5f3b -3dea88e4 -1f716ac1 -e4ee2287 -add80682 -424a125e -25632c24 -d375b0f3 -6e7b6796 -02fb95b2 -1a681516 -5a550aa5 -1b52f075 -f1cbfe7b -9d3e1b33 -a756e5cb -bbd42d86 -7b7af22c -56b81d63 -2a133f01 -cd1c8b52 -d590d0a1 -7bd38f79 -b85181f7 -3f5ba846 -52b150e9 -a415ec7d -cf91813c -b192cd3f -a2b9b601 -e6437dab -797fa782 -122dbe9d -cb214fba -aaa17521 -c487cc85 -c1350318 -fb032525 -060c3de4 -8467439c -049417ac -5a8ff13c -090b1078 -37191272 -3eac8725 -1b4c2ccd -632bb26f -08b49dce -5d652c76 -07fefb7b -6eff7763 -9a38fc5c -f2e7c073 -56d615ff -9e21288d -9b1c9187 -2156e693 -112df9e3 -181a0a1f -1712c019 -585f6095 -bd7ec8f3 -2739caf1 -8f16b886 -c8b8b1ef -50071c5d -55902795 -89b720ea -248c49dd -4ea417f0 -04794769 -ba0fdce1 -23c994f8 -0085888d -497a5ce9 -b68413de -ab6c1141 -950eca47 -cba86146 -2b07ba50 -bc862da0 -b517ef89 -12b31ad8 -9e966640 -29f15151 -8958ea86 -55f14abc -c808394b -0c70dd03 -a1578a4d -5fd9486a -92dbc1fe -f5d89d86 -44ef693e -62bf1790 -4d4bf948 -abe493ef -607d31b7 -91168cee -d1a98aa9 -2816366f -41575282 -99da89f9 -29112fab -4124657d -9aaf1fec -8aac8f19 -a1eb2778 -6160382e -c19d94fa -43969757 -e5672da9 -7a8791e6 -6bfe8be5 -2f3e93c4 -d301024d -d86eafb9 -9fa5ca6d -e58740d3 -923438b9 -770c69c8 -db44431c -fb7f5dc9 -78e9ee30 -1e526b25 -0e5de9dc -a5121e23 -bfad675a -fe63d6cb -20bd0d52 -4a5848f7 -b393a018 -47ca7c92 -014e08b4 -126a574a -36623baf -357e489e -838e31de -fc028baa -f222f571 -e7e91619 -d11769ec -b75f4d19 -f702e4fb -ae42bc5b -be552329 -8892d999 -f13b00d5 -51b504c3 -36e9d727 -e16d78ed -a258ceff -8d722ae2 -8766ab2f -376b58ee -2ad181f5 -2c938d26 -4dd24b07 -9e6f5fdc -92d16d02 -f0c3f46c -28031323 -30d3d236 -c7106fe0 -7785805a -4ff26dab -d16a2b56 -89defa2a -c3be0e67 -e89726b4 -612f9322 -bb122c07 -cb6bd938 -5ebf12b2 -60e679f8 -b4819292 -75e2adb9 -f57e901f -8fff14ab -bf30a24c -35f0a7ac -5eb6aece -264f0e57 -b06c7da1 -77f61ecb -bd3343b4 -b2f41a7a -b8f07015 -3beb354e -940e3b4f -215f49da -ea27e444 -7201a7f7 -bdb95c06 -4b2fb82c -31760aa7 -4b2c5a0e -e4533514 -8c80b5fe -eb09d60f -c76cd9d9 -565d0a32 -acb235a1 -33d53502 -ef6779a8 -28548acf -269a69bd -dd39529d -be7d048a -ef391682 -ec24bd58 -38f999cb -7aafe545 -e6a3e162 -a4306769 -3b457911 -d47d1a14 -713fda8d -ca687dc6 -ee86d80e -f2f3fa1d -e2524301 -93ac9246 -ddf21554 -05f56bd8 -80674349 -4e285278 -9d3955d3 -06f03350 -f75488fd -e03f4006 -20571bbb -86ab8fd1 -2c4e2383 -e4d8efe6 -ca4267a0 -91d896a7 -d76cac39 -add444ba -a15c6401 -ba67cde1 -9be01a0e -74b3cff8 -17bc281e -18cc629d -aad1d4fc -368798b9 -07e179af -06767ed7 -761662c5 -4b905d44 -8835b518 -85d22e1b -38a2045d -1f6458a9 -8b9bbbcf -c5df35b8 -abf894b0 -5c09c0f5 -8211e49e -4f313c29 -b00e9e75 -51f33985 -353ddb2a -de45bba2 -686c5dde -48d82067 -03f883db -6b9331c8 -9f0af865 -3b147d10 -8517647d -b1db1acb -2c9f2ea0 -00b76add -89dc1d8d -a1522997 -7007abd5 -3f583813 -64052d6c -d18ebb96 -1b1e2d0e -0b9107e7 -de550793 -8a60936c -4132b582 -bd93f5bb -985da675 -59620b6a -8d3e1635 -fc1e23c5 -7d641fee -6dd3f988 -dbd0f659 -55c09e32 -c705a60d -dc61d049 -578fad71 -98733df5 -422572f1 -d36a583a -eec09c7e -242390d3 -f853ab7d -ab68f87b -cf8ba92e -0ed5f511 -f4fc3bee diff --git a/memtest/memtest.bin b/memtest/memtest.bin index b6be43b0423561fa1ae54b8eafef1c09e6e0e188..af388db54fecb65c3876213b9eca0bcfb9ae4496 100644 GIT binary patch literal 104090 zcmeHQ349G#7oT|vB9cfNwFZy)MA0^}FTvRNik(`kwiXpsQA>x=peU6PYKhh!OHg7d z91}FoRfm#vY@Eb`}R|ni4@$U)aNA+a_CE(03CAB@oH1 zZlJVHoNfY=#muVG#2HLU!y8_@loVu|g$$N1EL}venMwwkYJeeGB?CAqkQ8K^NkO?@ zNES7VGEhbWu4l0tpbXTJfRh4N10n>J0m=YnpjJeD)`iu82mxh)GC&z96%p44SPh&j z1NQS?MO;{Cae$!=)RBO77OMdf0?GhofHF`kBHn{xH6TJj8K4YM21-T5wE1}KBfB!f)#3+hM~KUASkwpeGCG~g4N%ro6$>B7=QhHR#i zfl^~|J&Sb~WuS}%oNloiyb>~y1i8sRRgrX8siq*fU3Rzo#k)pJ#JeN}nUl&Xk-WNm&elEq$`)Fx6fDqOTyB z!}5Z@%G<0nBJAZRU9uv*!tQ;pwsL1Sh+M9;ImuT7pm8-&&ySvD+RkDujg>p1lJdnd zU`v-Q@s(A7DV?vxP6`1b$=mcZ`@&z%g_zCVnp{HPU#90UJtJgU;9TTevF~1%7)~))sIC4FA>22P~IA8R`C%9 zH;eLWcgvCVt`;}UEa{R}+l?V+a>xbNY}zp|>A_=WlT@0uhDLf|gNmwz)P zOG1&gk(sdLm!-s-~MfebRs29=M!t^~6;&8V&hWUZ&~5*Y{s356^#)|xuh zZD0ekR!=3fYGtqj>AxCfR_RUhXA(U;8p`3)3rVCOl}oc0GIV9plvmWqK-P(FKvt)h zAz#BX4YgUf@}v-q;7ZO>Vd`lO&dtsvEaJq@vasA2tG9@{2c z+xoMSw9i&p1m%THw-R|#e!D>3^gByxyP=yJ==CG3{>WWFIpEr0W>vA^4EDyCE=32K zMg~}C=`Y#gq>y>0+e|gUUNf@RpR35qdD+|8f7^^ilavnHAq$TW3UA&g{kZGbXR zhb~t&P(2;l;Q+${#yP;$U-xuuy5Z5R~V`V8?x1~|H_kD`#sY`U&G8g z{HqRpnZ2A|8tt_4WR?st$xhewARe-kkWEf6hPI7|tOwAmHi`z}A=_2c%Lw2h>)?mN zltc26HKL|%=OIf#674`#K*>>)g4Nk2&{?#IzhMM-xzay)s<%}+5tWPbqJXM5;th=PsSs&)ntm9F6$Y!?nY$!~kosVzW zuJ(8`OG5G_*JiC}lv$7S_tYPHHzJ{Z&kWKntl(q}$cE*+aY&SM31)@Kl1fRSx87SL zvcj1r7NxI5{>$V)=Vm}w5Gw{-BZXbIT86JiWZ6f$O_CC68zruo2AcudcC5RZyyiRf z`~`7?s77R&Hr*r=$J-#5O|t>nyt3+BOWBJ@RhwsLM7FRRB)~)#NZEuAZcftdu&HWT zN!gE%b9dIAg2!1eWq_$m#GL98xON7a6@QHnCc{9@ELS}0WRzK-DrL#&@)9i8m@+kN z>y)+0<~3ci9zvx8Ba(!orr%^TXdPIB8L>3w;wdfz z#V|jUYd(N0aZ+&1t%PIl=#3e8Ei=GdIfZ9(}7hO)`(9yY0~F00Uc23QSH2Fgfq(WIb;#vNuLvt@wu*W@|fUVMbXO!FYCFZ!K1-K^i=$ zryG(bSBK?_Gi97^uFEVgWL!0aVP;*LS4u+LQcIVrS0Uc23QSH2FgglNdc>Y>tsOwAfpiP=a!*A$SA7v%p^PZ<56d_H4BBD8O|C@ zCVv7|vFTrSx1#^{)y|(({R2PO|m&r^IAv@(rX@WVkB9P1~o03+tN$Or_=aN6jD7xm(Q9!bo zS)yZ26rM zP_slFC<9l@fUW)QJsPoXvbA4)R83ZgE@+};&1#bq{{)*V18^w4V{p2W^FXpBSIPk6 zOc|$}i!)2FyA$`W9AHkQNkbu7l7~z;DR7P)=H^K+wVA%i>I;aFk`;6Oy<%iv60>I^**8S9_AdTQ3}t{aAZkDvxJm}( z1GuuEFh&r%3G+qG$Nh#p3<-TX7^&5CaGk9Xd%y59Z-`AkzF-Z+POaZ^4q_*wo+d(U z#on{CAy#{3okb86JGQwDvFCqudH}J7b#q*U*oiCoRzfUzcWes8b}#Jr5yTz^CTLcP zZ-?!Of_@_}UfK+?l#wm}fmq3a-pwIqk|S3^?CPn4mmoH^<%YK)HsWD1e~2Ysn(`^c z*4?ji6JpI`mqb9!`}q04AeMDn{+STlcilf6Vo`6cksx*|tbYK+uJ!7h0I~Vq`XocF ztN)Ce5Q}~suF=K@Y57p-SFLr_XAs+dY+6x>?fx-&9K@n4RM-fyLFG=zKOt(i3ndmqY(VR;hC{4lg&bc( z?97@kG-D<-$6QT4cvLZQ8ti*JvhWp%4cL*`1!8CJKYt3b!b3;ogIKkYoMb9UyVbCG z?n#RX!u5G8HT8Z#n}emGU(nC-cOe#eW4z`X{m^QQhKu*M-kN$^?_$k&VZ1gUJ=f%K z-<3Tw^t;?9ZY;$9-1f6({LX7};4t*-yW>NR4*n|LR#SIdwP?E^_I=d2gAB2LVPSnB z)@tsvS`bTVb22-`lJ`c`huF<=Dfb~3w9`#5G4b*uo3%yF=`F+i@2m z)~d_14_X$-no3>#=T(10 zzdU=EYgW0#&4;c-zdyZh--1|B{swm-7ThdcvwA3W?3O0p!*6%=hkaLW8~r)N`Zv6~ z5Mr$dE>4D6O0yiA<6fL!K{L8b1^Q^z^z2zfjbiJzJFdxn^tF>&;c<60NSX|>FLrw* zLhScbKa_{qnYztuKrH@h!hVQ(?p}BeV&2)L5fBSF**OJbBa=F7RscbP6B|LlZ=yWD zg;@D_YVL;EyoReZ)p5)xO}>VHJ(m@30@PIX6d%V0tpZqMxw{Q|d?kA;}nHYNaK_mbv( z2C?LjB|9Ousn`7G5Q{u;YzD-ZmYl13Dzu_QA}Z{+*IqRZ}}#o%vd`wuo-` z%P1J{$;0o-HTM(8C{G2OiEhUq#j!kj%<*C~RrdH=duhM#vX_}it&q_-9#U&;&|BxI z)i5CkTOI#iY$H3Hs>Gq^bf`ctlLY`hHqHk@H| zwhyq-)^=5PcBNLr<|n^XYiZS>rqsH#=?JT@E$@1;`-jnA6=FTaQrlTx>fcIac?k&= zSti>*e)O0|>07&4Dz$cYDb6%7>2?%T>)^drH_+C~sh!qSD>Wg4)s~#i^CeKMTdllI zQ(q0**^y$cLucHf)~v;I*o@I{=#nKAo6{kDFty5_oz#_Dt=iOQl`$r=V`+-5pICAQ zwX!@ebdp*XI(sl3l)JI=8N~{O_hWgkeeDQ4%ht<+*+_g6e3<3F!%s1DX^&>nRqIjf z9nWx)TAfo?vh3!oeUGiEs-NuKo3@Tm8OJJUzOL79QS9@2;x=jxd=$vEoXg}<5rh^E$(j;EheYtyMxY?Y9w(c0S- zJ6%1xD7A|3Y*Ct8C9jobts^&QjiFe!_3e_W<=-xJnTi?Y!j zc(y*%Mj7w>L#SWgXOEdR>*q6Uls)|T5vw5Ki=wttzo|2x^rcow-{4-I-2Qp*tKr`X)C)cCY3NeFm4gWifx>*idwbyoeiYc`Q*y1`iuxlsz@;}w{@(- zjTkV~i(-}jh}ul83i~5j>kqX%v8&ba;h|vKTG4+{BWiUi-|Q~6J{U66jaoI`i?W=C z?l01bVn?UXWRYvic+zNgl# z6E#_s9!ZZvDb~J3Tw7{gsybSxR@H}N*$9ZaKb+m)Y};OojpWTc+*?z>@%fIk)}V1F zrmN(rh@7taZG7@Ab4b=CaQzYE9qPhgm1~%vwva!MlqvWk>k*^ru+M8%@}m&)-{#wXU2I zdY?tvysTgr#0huBE{n{#WwU>a*JAPOU1FiZy)^Hmtwgm?qt@` z{LxIiUvBNSleQjs8sC{(&D(Vkrq+YnOG;BKX#OC^Z%Wy+r6~69fjMl1Hn_We6vY-4 zzRb?3{YTw$Q>^CU-Rvsth>FQVv725KSf$?8sU@p=Z9|qWq^*lX7PF(pHEP4^>AuH_ zYiMi5a%Y!N>+ACm+4+v!D^8`@%t5uvQET$OwJi4|L!()Z{nmFz7uve2K=%vOI``{@ z9Ml@zVkDall0R#5fMT2cQY&7ae zYL$5JZI)Kr`Uyral!UKOw=4UC(|0C?t@0n7l&K+&h2 I#jL{r0OLnTkN^Mx literal 104090 zcmeHQ2Uyff*Um0Qnh1(g1X+rT9S{^1aqSfZQS6EZyMoxI7_fl7fha0s1q+CZy)9s+ zC<+z?FId3>VnN0FCz+XSf!&?;>VLoceVgardKEdG-*qa8nrb3E-3Tb01^D*@lS5sBoffiexK+M!GXWf~~cfSE*< zlY+7uP>G;S17#X0(}1ps%C&*A8Yt6%9tp}x;lHsO@R(bx$nJl9Z9u;vrX~gWs$365 zj?Wz6%VW_lQJEm5su2Y3h>ENX4bd)9nUHY~CE5Sr$O;I06Tf?ZCJpHGC>Juy8SLMb z2FlX)A6&ZVg7W`Uy8cyZKnF!xXO(qUnFjPoP(Cm!tAR2Nn315I6qMC~N(5yZDAPch z224f#AAgHT$3R&Pl+{3)2EU;is53sQ?*2;$7_aJ;N=I<&EQcd#P7qC{JE_ux6Ipda z*SU(JYygKW!6}ZA=|xSl7l*7Wp~{oAlhei_DtXx;3 z3OJGFs0c(&L}Z?bEGpuV)lsw-;4KmP3jd824q4HQlaQsI4=1t`u0)%B(S}gQBnv-E z7TU>?@W`sj@gmucNmkNKUK@|BJTH>{SY&yUR&v`oWEH(zcn^$Uwa^k6sFB}b6lcXH7keJXZ1mqp$ zkcH-!-Q@WZ@0Uw9tQ$#R_3vGExz}jIqj~5Q(R=JoE?MA-`+LbEdIlttu#R%bGC8Y5 zm@2xIk&_1QG&cd)+aL*xBvO(?7O3eX?J7q)L#o^4kY!91yjheD4%t7oo~`aGWnwsF zsaoJ9(@E7%oX85qDq>Y1RS_JrM68INu81uCY&c}4*F#eD93nCnSrL6q5r-_MD-pGS zlC0EcFcE%Y;y+1Nj0jExhpglqDWP9!i>|-9p7j=1K`T4yxghN75o2))<1{aEA!TEb+Ny@AI8vxINOIC+T0rAN4=@St!S;f2P%fU&56vhRg z*^6H_6C8=_pw+MjnAl4WlJPEQhgG^%imc_hk?6)Dt1d=X%t5SJOW436OAuDMLJLue zC)yB^amZ44*^;U;wTQc`1O&WcA})l>Jd#Cm$O;JVY|6k-t(h$;^{q6e0F#W8aL7{n z^mWkxE)(4i@zO;S^d@!==5lbV0d;yEvRbmXj8~#NbASO+gsdbZ zzNn*LCfl4O`bc;5OJsHQOev45Ag1DxWzK}Y?*qH&15RX92!bNpMLOBeqFuC%HNY4a z8jLCC4yPJ0_m|mWI>jQZtH-C@!MKS#CdHd<3yUm$`BgvYp+F1PkGOynSt=#{#I5AE zaL5YedXZGXAuD4&8zJcrwsFW3*Q6Lrg|Wyo);!wvJ{D6f)h(L$R-3rOGc75Q{Y6F zAZjF2PW)8jtK==8cFv`DVjB)wRl<}<8EHsM1~G@AfkRfnxze1{mj3Z&6~8!S;UdP! z+`gZH5c?dgiaP}0kfmbhKuvxHvF zuk?EfoDGXC%juMJi2L|n@yL>NvV+nuwOWaNy9bM`0oOWKp=F0O zvh)o?8&zOvvSK42He!*E#8DbqQbdnW5ENOxbs~_osSBz|BP-%T%IZ)|L$V=_tf-zG zW}>Q4mQB`%(USXqwyIy5WFgDnO%|}A(sWW)J~9!RWTE9H^q?uzlW3>x$Rf>pNWeYf zkX7tOGJR$&vQlrD)Y5=QR@$YLQab(~St(92-^j$%=3rPzbtKk-MV7JPiP_0%{JqFZ zbB;q+H5OTOb@Y%Z`-nsKlF&t*P?RO%kR=EO)?46YIAp262NRuoWU+*OIAp2ytUrb; zQ577r)MCzB)J7=BG9s50B0$0+OMShMe&s256ZujG4p{-Ak}TJ!m;w%2f-t2f1s<@g zu8dVpWE`>t=aMt~ZdyZ(m6Qe^S?bNV1|NDLrFas9vB(mH0XvCdWiJ-lSbEi^NI}H< z>{gW;U}SYSO6;;l8(3tS&lE(hn1ju+`6d5EqWU22Pkm6+IwQ$Jl!cY9<`4Z{JBP(JcCQ^mH+6{*+46A#! z4yp%n$nvWo+!R zfiD4bP{DLe%G9HJsg*391k@ZTZ5ph`!{1NmYMjc0_>2Nvd8>+iH2injh~0kCmA$A; zo!m$sLy>-xk>T)CAhOVf4!qeS9)E|J_D9CMk}UOnql~OF4U}m>6)9yJDAVBoJq=?2 z-t4H%S!K@tzvt}VOIc-|rQ)Ytb>XFf;!jA*$l^s-@jy$ zOA{WGuFU%makXwt2U24i=&uB5Z7OH^(lt7ZbjeT?>%t(*cm*uP$%;7=?~+ee)L2xO z6*nbW@U1}EX&@R+QCU`G32IX=zW+lPGT!vvsb>2hpTU&X;7?TpWn}+UWa&|?th4{2 zb+(!k%Rv9*byil+#!8DB9 zr97&DpZ~%3jYAfEDG}QxrHDzE(+kHbpH;#m%c{#1gkJ;1Buntwq$g#1hMkyXc{sj= zI+$d~2!tj$5+2zaJl2;md=w;Y=;4snA#^(MS+`gM4p{+_%ENNTD&df&{yvb)XDzS< z9J1boN-UN$Rtbx&Z39K8FdVX~e`JaHht{)vHe$E}R%CIV3H5LyE7Zo7{yWLCbrvfO z|9z3ITZDDtLM5Cu_+tZ1g%lFOk#NYOkGpaFK^>elkiMRkb|Tqi;UxH*7vFF;AbKPt$om5iJhFV`6!VA^Ssg-^ zE5P&z)o{p)xh#_IpJcmm$WrUs1$=@~BWhE>--fs0koD$Vq1}<3v$j0yh_PXj)gzn) zlrMR8CRTVp9I{Z`i4>a2l>9mF`rQA8Kfsk41!bo)0?G&|Bk;!~pl2)i6X#I*h36sp zJd|XqwY4&`$}~`>0aYxNX`oC4Wg0NErgBnHRs$*#lxd($17#Y}6;Zi1P*wwF8qgy_ zIVmWsfiex4k-$s}@S`pG*)osmfS-Vhc8SW=hp*Dq=q_f}B~yd3Ch0wo-l0!iWu8Mx zRvB69*D93@8RZN{6^wsh8pteeOc7*CFs7Qa&Qc+R)c`YOm}<(%3M0#;C4w>ylxd($1G*yq`_{8G>)*R6tAR2NsEkskfiex0X+W1&<)omj z2LHWjV5mEUPI8G2zNL{s@h1hXw;DW2W3~-H=dC0S)LrP z!hhLX5wfK8I{P;wtNJJEEP+vH*%&DjS=LCdfEiip--Og4cJk%ALkgH=c?6N9Dp{kE z59%mU*)hqAIKUDy$zu5uDk(yi!x!4gkrW{-BeD`_QG~1l|1hN@WdB5+t$sZVuU#@a z*krZeqVLE^L{X&4L$U&qHJ87h^+Rs4$!fe6ARGBde6ofiPE7nb)jB0@0R+Fe8 z8CxFhK+dKBSy*Q!$_elTgwRlJeNkYIcvd|MGX$3`;YQ^wsdLpvovi8(AvQ?BCQHo-l6M99ppJY} zq(O<;WQin#CvGaEg-@2sD|zc#g6j=Wg-@2iAd8Yr5wbF;TP~jzc}7!&EJ4Z$CiQb5 zJhodQvaFR9flV$2(tt`KDc%sQiUft;&&piSiYK%Jk(ITcrK@^#ma9T!g;sJU1<1f;2^dy9W?$`&4^e!wHIN^7B!FZ0 z*6Nf%a>RaF7(24HG(<)_>zGUG@-mC4CN;SDEQ*`69B)FefzNF zK=Y=uWE2lO-73ELQCp|Z9u6g2oE=5(%7n}D#T$O~zFZkp03M^qUbUE2JF0YbA;loM4KC1^eBc(d%QRH?76arrVD^*J zHSHFIG?1=QO%I(>u;!y=AjQ?V8n*No-kFUr-X*hDrM_094KE7xz;nQ2!~-D79E4r$ zGg$R%lp-H3O`!*PkaR@*MO{3?56V0-wQyRAR^t%XTsX8K6(J*_!6=f5P zaS3&GRC{?0#xknn2pQ{xgNHoP*@EU6_*s<)Mb|CB~2Vj=peetI1~$><(*EptZH%>hM@ANkI#8MmQ!}k_v-v z>}F&oHzu;uM=C1wR7~}V zT_odGd=vpp7aZ7e!I0%K>g@1fTC4`sB2~2sRcq;Lw&GowWW(wD46aF}tkAAv8Gl`v zJ<$gocpwdUphYr=>I0(En<&CiqdnA8<#@>nZYfU7*N_=6PglLF%gu4h$QpH&1tLh#5kl}XJ881ay8l_UH6<@k}M ze82>#;*B8sk>Vg8S!g3+EA*uGHzLasx{;LNWpqZk0%T>}DC7;!4VY;FbBK?ug-7V; z*K$^mxmVTQFOoTfc+T=M$ug!K9&^Cj;YF6Y(nowzkFlZ+DF0H<%J}?4^63nZY&FDW zLW&G93rR|no?(%sU`5DErjVppVH=CAIz0%C&`UxgC7~OF6p<+rhpb8(k6zCbw!qX~ z+ym2sBLekck!6$(cSwCdi<}9MIAj@ZEzR=k2AI$jCE1`BK3VE2K^piWTNy`evKr|1 zkql>S7Y5m08wffL^idkHwlW0yWVv-oE;WB^NG6aBrwWuVls}x`8d>W524E9U2JobS z`p^+0vQSkIPGDRa6B+V~HNXf$o#6D=D+fkueAZa1fJc@Jrttl&zf@dhF zQ2At$Ci|`n0kV$r$s+4=CJd-0`-o4LdIpm*-%6YXpDa<%V{Fx-2hf5IiGu=U(R#M} zd=mGo09lv@I006OtT-WAEj+R~mo0jhUm#1B2Duv^S-EZ`6n=rMgokRI{|2(`g6tQ_ z@~gdS2BgXxIO6>i1tg=)7I0uIV~B99aAGk&LrrMKWlhsO+uqQ>^Y+3;jpDaaA-eZmMJoscOw=_S#&{n#HPgZ5SbT8=N zV)8~R*km;pWFs5-M|`qI)jC77q(mn!SyH=P!ctZfpDcC1@HbqtoQyy8qyQIyJRHin zWC=Gyfa4G7U`Cdt))^Xvj$Y;`l1EDS&W>I@veeC@{~d5rrEPQdb<(}EJ(y$}eU=Jq zO?~S~L>3Y8$jbk|frv{=RD^6O{tImT6CX78ES!S;0@JSIDazuY3Nnhm`{y|2LK*&wbDgMcB zK0GNe4jBRp^-5*+^Ko(Jqn=I}c!0u@<$ zqr*yVd}c3YhxfKaim^19gEu=!Gna}h$tojDC5JK%lxd($11bW_G*G62G7YE*P@8g6 zP*wwF8c-2XrhzgIlxaXkfZCLk!k?@L@RbF8gT-SaPLRw&v`bW$c!MI=rNIMar8*Kf z7E{~BoE5!H6YJ^%(m?D~;_q=pyF_L2^TEGcVbAgFWM!X&&RN+S^tCcUhia2(fIz>@ zOEN795C1u2Zd4 zQfHNsMc@6EefN~5OIf;L=>o(5H|~{@RYsPIfHDn~X`oC4DgxB@Upw8hUlIb>DSfX< zH6RuElJb9TWTl5vqDPU)N|?%QVn!COGiACGcK*X;g+y?q{{*t|fkr-E#mJKK9YPJv zG@!NdQ$ZoJ76M2`{sEh;_Mah3qPLdvsp3xxs@V$s`H}*WrM`Pc(mZ+ePTqzaS?h9~ zDS-s1!zar@-;|TZlN~F#WKGw}a)<8RnxRXJ1|;BVMuV`Idf zf_uZ>A+b(uvefV5lH!(PEy)Hp*>!6${yq?%8J{d2S!g85OxR{4f=%{dO`)|^i3B|g zkR|mv&`7FNVFxzZ#ebyEa%_u)T;xjFWGPSV?U3gcKeDtZQl2}uUlFpvNR_pfDkw%4 zxZz3lChSn0tk71f^ebegIuq%@B#Xa@p?&`XS**X(z$7bjc^Kj^kj3zdzmTDw{fh<| z^>d22nscxxW7`uuQ|3fJ6>Q914mMR466o>;RS;`|vzo>d%)uMQ0 zDbuw&@XIHpn4fA_`j}*CN2DGYV1{B|rBq35vaz+q+(|TeVr_h~ocVfcq?oO&1~%Cw zb8xv5KitKy&bb0)Ipx3s-oJFRzBqf&)eYZzh8w=AgRtouhbEf@u4|OPcik9- z?XWuju37H9p&_rg1R$)}71!2Ft_AGhL}mmdtXu9o)oTw14D1`{_ZVRZ?*|U6;X7{q z#{wSvgDAe2Gu&qwEx5SndRRKEXMOhloGBffRv5oy|HYKo&mv6C90pz5^lIp0q*rfR z@z%I|V@e->JGk|R&dRPiW$Q(D^!MyDy8p!29&uCSmwiWimt2Ov&8?HEAKP5*3c~Vz za|$0c;EvqU;o}{ICHUo!3tYVMo9ckCT z3K4e9Z-sg9zK(YM_s4P(rrr1P4#O?>BiA2)z@B5xZvXSfQB$)XIGkRK^b+hgh0R}` z-#@VPf&B;@nLW&5-MhdGmnL86kFeE-j~aTfT)AU#M8~%Xo89%^jlx*X+x z%_CcUxv>A^oZ9h7Z)wiU8|tc~U3WdG!^Y}#yVLbgbTzb1>3Yr>>2-?S|1RA-JkIM- zr&b6HQXAdiPVE-=cTVeZ5Mf9A#dBva9;S1>BI7Q?Vv5c*`s>|8*X%Y8TOw>?@s8zP zPGoruso=6dkeRpiZ0^ZWi_{OVqb!l$?$qKM`!YQYdbsUobI5q(o<5(~ooGDf!I>{e zFRVw(_aK{Xg{|CcrXsA-^D~<2gIt#vtSsdq%>88jg4m}M7S~Ejv_n|x)h~7DgdZLE zz|m+k!p54J9U6IS^x}xa?|UOGc8IOuON@(J3#hl4*kCrRdluUP3YO<*_T=Dd1v%`UdO*lj#~4*?XhVT8ABjp>qY-hK~*!^KH@O4@j@G z#k-F^!ZwzbZ`;D={e}63V{(gH4*qIiYY5V-5z)ZfApgbB4$m61b=NSyaMmZ!hL?s9 z%UOf;c6TnjWuKMdQ+(fT4#M`tL=AO_YT8Pd)9xL@bVEM22&kK8`g4;fd%bTK@2cC{ zeeTEketG%ue?2eCziKzM8Xj z_fk|~ZS5KeQ>y>_#u zBWy3SbvNd4vnk6fBkNb>b!?9G293%OoXNHE98p@p@=L6!bPJAnVUynA@hPMi%4rZ+ z5%+kw*)`kC2s`Uhs&!KHevXaq&XWk+n&7edhx2@23qklqggIP&2*jj+Eqdfx0bWK;LSuFYBONbMXgqhhy90Res3v9Hy`t=aK8wngd2 zTfLF(F1v^7-Cq`cSp4QQTZf3=J}$|DJz@^|UI;>Zn@?`moLPCVK@19%4E=O3~rq|D85s7m;#(35usFffowi0yTux!(%ubcbb z?ve8nSwtU>Ni5S#af*r9%&q|Lopbt9#-H!f`dKi$!pn~_v+DLG`e;cFg3Z`<%eJ-N zA279G_hvx|^5^V5=)$%fEB>xJ4s3KQTP1639yCiZW9jpqNYB&7eutay2Eml+?>8cB z;)lqX9;HVf_b52I8ex}Bmqe5Kf_)xK+xA9Sv1dU~mv6y?(gc3&h}XHJFYudpe)#l! z-cY31?Oxv}*H3Tl@HV{WJcM1p=(jGY^`hwBqu;kd*k)eXJBuOT-kOeW&d!o?M$=y$ z2ntXo8}z$~^xoC{S)Sd0Qn;?8O-F?B!un)QNI185uzjaj2y3=Krg(OC%#+;vo7maZ z@bTIkTc&nDTyw_su}JTk*Bc-8Rc((wI-&m^VI{hQo5%YSvjg1&o+GT!BgfW8t3F4( zsu$*gu!)Bp<~W8dD`{)C=QhGV1uS;7&p({Da^C2l2>a&0byc<{;dSbByCQ_`tNrk2u3xF9Z||3HK_dKqasP}5HDmgyKa27OPouDNVv$E$e;+V)8A z*{MsNTAkT_&;RCVHj69gl(`K&;=APb(GBbho`2VVegBFFs-w4LdLvs?dxLg8zMTn} z{NtMq!WNJPwaRW3s#za4ZGx~{gGQLQ%GkQ9X;Ea)Vf*Sd2xN1zeE5yE z7kNfMPIz*ikY03X{oDGl$`kYUITa&pXUFf?+-uETr)7TE2Vs%Ju4k_5dgVtm%@b@D zT~NPG@0(?<^>r4`WLG=^9PcNmhPz$%Ik!g>*#?jU&rS`WzNK0InhOX^vb=8g<4~%_ zfyXDltUhY?^=mfF*kK5$1bxp~akY>g5mLYcxWbrRNC0 z{QR|{?Q$QiL)aT4WXtS9V=c2kG&zf~Zr!z3PjQ?vyZ_`MHU>oa>4E#FCm~zgKF)K`WrwLp*Bz6Iu=wx2K1XjM>l}=TVmX^c&*&7rD_=9e zkqLX=DKReVo;x%qQ`JfnknQ=?U;UlB@0p=DM4PQ#%`;+68&9z|FSE-mKzc@>j03yY zP31f4x@<>S$(`Aj)Asb4y8M&YM}(~#_wmEpDFb8O7UX9m?AFo?zIPMMJrkneEI?TP z*qqAw#N%Ez?oaC>Y=U-1&DzUS+GWAi0IaAil68SZgk&L8@O^gik3jSaFedvY;jgi(3ywe3!w|7u^aZxO32**>24bgw>4;70h*#%DihFgR<;({1|S*cvef zCcMc&ww-m&HuE(*JKy`zW;Vj?j-Gtnxz$UxRFgRa5O(2BqLokG#8Xo&d$F}RY1y3X z1x9+exNi(yk>1ylI$w2{zfCyD+rZ|_K8q!q2lx1tEtu1et$!PbnNLf3_&Mscsm*I- zd;Z783ugI_>Ccxfx`!~QV9TG}fFv)in9XGf8|Op@y$oy8@Ok`-QiRzXt($C;Sb96E zBy=>w$`|xMI#;VKyZv`Jb`E&Awynd&JNv#ks;gKby=HGNA2%HMpnab-moW&-3fi+M zv{$c$%WEE|BW(RT{)D1Vd3{c}Y#4>GcSBdNx;T30xR6&rbP*;5Tsq8-1^v~% zryl#>#B`_Tj7X$6+qbN2Pi4)MCP%xRLzwBOhBiZ%-}$nvQGauUd1QaGGP!X#r^5K$ z1cVtrv0r>;*hig3$pky5bBF(!keB4+JAALoF{C&AyYHC?J@gNFwymR%uuhX2ZQuE` zV(60iVeD9Vn?n}IAFCO4XHSnw8(l9p3Tmm=6=8M8%e<;HH01Q9MLn-*FL$G(e%X#d!KRdSzC*q zCkGd8dxWq~>fMLTZ_xYa#%wEg4f1l@z3hmzi&IBdEQ&>Xxf2rS=y&?-aIKg1$`N)) z^<(suvIkv^=iOqTY>2z@i%d8zfH$G4E)qmhN5*IrD&eyaQ;HTLy6q4Zn9{v4I~ z{Xb6vozB^hQ-NfErv*S_uiX=X72hpx45YuB_Sy_2vx6l|fHbycDy%^p=6Z4f zcJ6Eaxj>3oT^r8+t&z}a8 zfMW(@s^+d^(Uy|N7A#NJjkgb%1npP@ic)YSf~&5sQ#sTme{_%WRna z3ALkI0nBb{hGQfuOl#Elpes2b=U*f z;!y=x>;QH%YU6q!J@-1%4oHS(@zk)Ow-uk7z&q$XBFY3{bBDN_0;$i%SCfGB7kLh@ zJ`2{bu>{z-zArt2ly@Q#&Yx?F=RwcA{jB~1y#sE0wgA$NFQZFT51RV`N&kDiJ&>Y{JOhDrZQ;fvKuXGdJOW6bK?C9V z2wQX73Sd69W1@l7I4s*5NU9S<)qpgo@v9UdeQndZ7LZaVyzL33Ls!@20?EGd0(f4H ztylE{c6Q`M=r6B$zc#>bZnuINw)O0lT>zWw85;(qiuC$jfdrq5sY-Y$*J38X>fHU> z07yCgE~No!+i`1{oyi}re+Ag4lsPaHQ*UNC0qnxIDex*&S8QnxuzF+|Ja0z5r``aI z9;-D9NX19CJq6O@$jos-;_^Fm2hyec2jQLRIpPQ8d~LGH5YXGp@p^wCedxaeX5!?z z4lql+wjP)WdY?72Oa;=_A2~4M=^bqY0Jg+`C(NNO4i+$0qr-b{0=*wIQ{ebmVv+^d zoTS<~IQySIc@Jjd<;`{BEL;*ua)E8JOD`CWuYa|JW6&$w7*>JDu~v|kQP5IYOSXE& zz}{kV1guiyi72&86>>JI=? zbm7QnKuYPh2j=N#-s)2Tv+Y$MUeS8>XNLjyT0Kq^NcHAT?gAvk^DkEb$>W0#jNglz zkv#zxKiUyK!xu)jz7H^i&~$j;Howz{`~u4o7J%N`uRS7x6k20E%#HNL9bN;>EMV1p zAdQ`H6IRE3(j4}-?=aLE^ma9EIvPk$su?SRl(@80IgmIHrwf48r$2cKNIL#KU4e9e zDz6cc8f4V`3?x6h?=WiPRYtD`*vh3n&I4)ShI%`Iv|Ern4@jdzGGL|NXY06i zqkz<5UaSB}hr1cUkvzR*1{_fru6e`gPHO0T7wCPsR+0>)%AR$cfYjIrT}OAW{Z)W% z?f4nqt%DC$;MlK7J9h*0rcCWU7fAjkkKwq~&pr)D*|fTe*`U`hG8x_l|54q00c;ir zty)S${<;IOQQ6&=0!ce_dw(FA8IC&yq^~PYVRYlyFLwvnNwp>hK$Iay$2kZU7kil&r7DC8UcF0+Gbn^(vi5%@XqWS zerpTBI893i18J7gfC3<`DGq`20<|in|2;FYH-ul7JYKscV6B19xFx%RK0%Zkr`@l$ zJg#BwG~;au)84A5{-pKU1)nq1VU($#gze+(R^xopmIJL;s3W}>EiA(=QYMtvYN!sg zlpw|wga?1C)BH)}NuIt)FS3UEM(t}|yjF~{g5!iBzMA=yff*r}wO*<@Aict*_N%maPFo$<3ec2nxPY}AHx3-u2Sj!ezDJSSw{*By>KkzS36gKCe{omve2-hC{> zmh8!!Fn;V$|L7Ta;e95E>8GEbA7A5QS=T{BJdj?ulf(3kRGr-h8c*npuoHgw{MW{I z+kMHHfFpq*29N4iqFU!;@XVuYV73rM_aVHY1%@kju5G`n7P3wDb{^1o-oP;3++DB= z5yXfAx}6S=EMIZh_aLlv1aWR@i;M*gPUj?!(O~0uxkvu+;O2zEk&}mdBY)>kv$? zO{+DD78>BHe&WP z`+MCYuZn}PY7oS($NL_hYuRpyQw;w;(mNI3C-cjDw_j~!Yv8`)!QRe>s|bD z%w|pg6ul<@>oQ#xq~~#FeA~tWd)N9oT*yS&e*WRagX3!OAI#m%=Do?0^^O%z>mP)a ze=|dRo1%hp>$og9(I<4(284Nd2#!Q#-I&#VViueC#%*jD`3}4i-Q-CiiS%q+%w005 z)v8sOJFH%bu*bzN!Hb_3oquZ_dJAC|i#L~UYB9v|Xzz(|y+{zN&A&RTBxDc0n(AbS z^!Phxo^`r@v;Y1)D^-MDo*!@C{ouUZfP+uiaqf~^Bj%#^riZ8YRyIR=1^esH{>q6k zIeM);7GeDCDPN=3)*R5kxhk7OT`fbRnk>sPE-)DO0O@VnG~kYP-i@CQUU9Yvd&BSX zqI1{eYvbO`C`Q;j+pWutn`x0o*0q}>?9Qal{sP^Y>GNy$fvX6D2y1_F%G$|GO=m>5 zsE712f(D!^P3(}>{6Z6!%WI1c8D>pC@0qN!wFc6QH{LR;>A-Fdp-)$`u{sibv~+W~ z%kC3~gvKB}t1_GHF!S`y{^y^=)fGV$lqDH2Imq{430tpa{M4jn-jP;pj(?h~-db;) z>aFn8*4L1~?WqIPe>8qKG$ZGUCc^rE)8uIG{Y$^OVJ16o-Mn#)JE;3iyBcaqY>`-z z{CT3kovZ%pUY&a*+vHsdSNc9QYQbx6!rt?aftzfn#J)S`z419a&O3yyrY-+P~%kq(n}y#kGou+ zepJiy0_(42YelU79c{1UoeyQRdRsQQWSQ$kdTVz$im*by-MnVe`6t5kZVJa+*5f00 z2kyK4$ox?f(pw+8dQTRaS0iCl2X?(t$=`&k)G=bJ+k4%9x4q=PBuZ< z%67NjJk%ao+3Tqf8^7gk+aHQZYxK=|cLB?9`@@u>OZTTFm4@c^LAKMn+m5O%&cF37 z^&pkIlAjej4Ne?fc}Hun(W)S4WO3hThFZwAvV|9qO#FthOW_S-$4*!m*l=(CP6*>P zJ2Nt~nX{vnk?>n|#kQ^7zrR0rq}y6+cDCA@^5M;)GLswk_P(ElY(2(2dLQ*MweAqT z(_IlZx78TI_YLX-?!Q8xJdl8EM+7TJzki|R&Vu?#tT|otry%__=X+b?k;`8Di$1C)An}iCS>bdcs1Ru z^8BDKdu%Tu?9<>d+m+|1j{dqnm%Sf0D|>kLcz>?c+SR}b>77md++=OjqT`#Nl)gro z)+CqC`XLQmug#t~2w|5^@4uayb$LMGsSfPSZeQTKy)@iBD`v^zSfr<)H_7nMh1k(+ zlb^aHYyH@KeZ0rvS&79aoFw@Ef*Nan z?JF~HnymSGt>QA$8<@~CF1(1p+@V;5eR9Y>;n$>OoMFJwxp_uNul}58$$On&@IQPU zy$xZ$5luIyJ#@&)dijN&T{tfNPE?enjd8rLz7Xj(Zdm%-r<9DSA9pnlVIT6H#zr3> z(y)!$vl9qg9iE-oIC6Z;8Qm8RLs(Rd>h5Q4Dooqt?d^=PP@C!2T^+rgPG4@xKHZt= zy!09oKYw?!`itM7dXb&7xWCxM79&>9ai;<=$qqeP&S6eM&w-IXmsdNgB#m~ zIobVPQvIt_LJL+`&P94t7dpDtC@1zA8y{t7q1SoQ(@uJx8(B8(6J;(*Vc_U&u!1He49B=>^0-EV8zNtbJ<)Yo~h@q4QuA`#^@278}C{VSnl)4 zE6O&#OauAbWaGFm(qgdIA?GP9KmSfKa}TH`=B&H!!;as_sr9DzcvpG6*zkH|WV@S8 zxkjwuMe2tgVOO+%ZLcSmow<;j(rG)$IV%`=}b7+s?(!vV~=m2f_po=Ga`{Un{?h0l+3KE_AAuQtj{Eb(? z1vnG43m>#(XWpgEh1zcRoRMostw4GuU5!I(_geUD%-EG%5w>&G6o)yb-y;vS zGhtUe8V_%~ntfk+YPZiUeWb_z9$0AkTe(rd548j)AKjB?ezwff`_Ufw< zmOLl5pyb4)^!%hR?0mkotDk=k~OY`~OL^_JJK8METqyl2RE&262zGwz!` zICybbDZ=Ikbl=V>2FMH{eqsa&xo9(lIWXipI58t#}fG~BV z4SiBGdOD5Ud-pi?R!}7repdWswP7*P>85J@1W2|TfpF};G~S&Du#&G@aO__Bc62+y zrW+O80;%w>8(eu!TYRe$VxMpR0MgsA6g?naG3@&VNUI#qLd@P=JsM#83r+oj6rj^E z1xSq^u7poX4gA{O0~l}rH<*uC!&ksn(W)^kVV*@zbiD=i>g5*?2U7126XA6nwvft=3=+*5v2#yyU(vb=vy^Y-CY6+xk#RaZF za$MgS&QBw~w!jGGd~Vnf^d=IE-U4aN)-zr}%Di_8-qGECOIiVJe8duX=Z!62uLIck z{0;4Z^r*KA^b*`6q5@#swG3b#zCCAc1At9x>#)f>5ksfd@>xL53t@1y)t31Depdzu8q2T0!Z7< z4#G(GGV_D8P06CyF#p~qb76+*EuRYSmZl-+8L)NTT~r99emf^Xy;>`u!Wx;7O~T6E zC}Ki4pqG2#Q#O!{CX9x8(D#1jdw`WDTlWQ07vdruIZaQlF$36vuKJolB94W^+C0dl z5Uy}N*MEn3&~@NkcwY6ApId;%Ec?j2Kq{PC-vmfcx6FYSwS!``0oLiKD_k9`X6{}E z^}ah#0FuCPI?SfVQ#9d9c=z)rBSEjOPuUXQxgr|Yxyb#m+yORk z?rbO}d%M7~H8rnz4(Po(uQMDyWupc`&)heyoI$T`$|=ZiQAn*C0K4{XU{BaPchD># zo&ISJb5|$Hy)(eF^*h14Wxuf(22u)gRnZ@Lj+>l$^3v&aq3A}@f&*I9iFNUb~GeE_5*iw1ZAN%&cD z%HTi|jD z)Z{4aVElV@qwP} zxMNFzWb9EK1f)bywQ?Z6tr4dSq>phw;nTpTv~B<*OjmlsEVfGO340gyKa>i(cOTsM z0!SUM2w?1AZ1RD*7MG&m1@sR6y3PE8r%O27DXA1(N<-O;~NYDV?KX?}~mNK)Nwz8oUmR0TbXT8`gSSFVNfnvkI)I z$H(8c0+{`f|>d9UIyY zM$JF2f&`d-WAt~1r#ha2D}@n3Hn6_D{dp6ft>JDj0kG(QO)CRPO&YH64Wyo3GvNK@ zEt?SmuzC(__W@~k`+)&Ky2Ur&38Vog$Bls0nbRDOqf+8AWZ$^ovDKh=RuA56Ao&t4 z;5nYTHZ=#>gO;-z0cqC*%ho`;=kUD(NPZm_!E|@&cIqI&xc!#HwZ*XB+PMIWK4%*Y zq@~9+HG#CjsE<34R!nLC6i6qR8;u3hor#~|-D<^kh3BmuH4^4k_k=7zptnS4fiIBW ts0XS5>83#~$ZA#M;n4uQ7MKCY@43HR;SB3J;?qXZOAwYXN;vAo{{gWr9}WNj diff --git a/memtest/memtest.v b/memtest/memtest.v index 3bff973..9919422 100644 --- a/memtest/memtest.v +++ b/memtest/memtest.v @@ -11,7 +11,7 @@ module memtest ( input clki ); - reg [31:0] mem[0:2047]; + reg [31:0] mem[0:511]; reg [10:0] memadr; assign random_rom_dat_r = mem[memadr]; diff --git a/memtest/top.rpt b/memtest/top.rpt index f2d9cde..18493c0 100644 --- a/memtest/top.rpt +++ b/memtest/top.rpt @@ -430,7 +430,8 @@ Removed 33 unused cells and 98 unused wires. 2.7.5.9. Finished OPT passes. (There is nothing left to do.) 2.7.6. Executing WREDUCE pass (reducing word size of cells). -Removed top 21 address bits (of 32) from memory init port memtest.$meminit$\mem$memtest.v:26$131 (mem). +Removed top 23 address bits (of 32) from memory init port memtest.$meminit$\mem$memtest.v:26$131 (mem). +Removed top 2 address bits (of 11) from memory read port memtest.$memrd$\mem$memtest.v:32$68 (mem). Removed top 31 bits (of 32) from port B of cell memtest.$add$memtest.v:30$67 ($add). Removed top 21 bits (of 32) from port Y of cell memtest.$add$memtest.v:30$67 ($add). @@ -546,7 +547,7 @@ Removed 33 unused cells and 99 unused wires. 2.8. Executing MEMORY_BRAM pass (mapping $mem cells to block memories). Processing memtest.mem: - Properties: ports=1 bits=65536 rports=1 wports=0 dbits=32 abits=11 words=2048 + Properties: ports=1 bits=16384 rports=1 wports=0 dbits=32 abits=9 words=512 Checking rule #1 for bram type $__ICE40_RAM4K_M0 (variant 1): Bram geometry: abits=8 dbits=16 wports=0 rports=0 Estimated number of duplicates for more read ports: dups=1 @@ -570,48 +571,36 @@ Processing memtest.mem: Checking rule #2 for bram type $__ICE40_RAM4K_M123 (variant 2): Bram geometry: abits=10 dbits=4 wports=0 rports=0 Estimated number of duplicates for more read ports: dups=1 - Metrics for $__ICE40_RAM4K_M123: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Metrics for $__ICE40_RAM4K_M123: awaste=512 dwaste=0 bwaste=2048 waste=2048 efficiency=50 Rule #2 for bram type $__ICE40_RAM4K_M123 (variant 2) accepted. Mapping to bram type $__ICE40_RAM4K_M123 (variant 2): Read port #0 is in clock domain \clk. Mapped to bram port A1.1. - Updated properties: dups=1 waste=0 efficiency=100 + Updated properties: dups=1 waste=2048 efficiency=50 Storing for later selection. Checking rule #2 for bram type $__ICE40_RAM4K_M123 (variant 3): Bram geometry: abits=11 dbits=2 wports=0 rports=0 Estimated number of duplicates for more read ports: dups=1 - Metrics for $__ICE40_RAM4K_M123: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Metrics for $__ICE40_RAM4K_M123: awaste=1536 dwaste=0 bwaste=3072 waste=3072 efficiency=25 Rule #2 for bram type $__ICE40_RAM4K_M123 (variant 3) accepted. Mapping to bram type $__ICE40_RAM4K_M123 (variant 3): Read port #0 is in clock domain \clk. Mapped to bram port A1.1. - Updated properties: dups=1 waste=0 efficiency=100 + Updated properties: dups=1 waste=3072 efficiency=25 Storing for later selection. Selecting best of 4 rules: - Efficiency for rule 2.3: efficiency=100, cells=16, acells=1 - Efficiency for rule 2.2: efficiency=100, cells=16, acells=2 - Efficiency for rule 2.1: efficiency=100, cells=16, acells=4 - Efficiency for rule 1.1: efficiency=100, cells=16, acells=8 - Selected rule 2.3 with efficiency 100. - Mapping to bram type $__ICE40_RAM4K_M123 (variant 3): + Efficiency for rule 2.3: efficiency=25, cells=16, acells=1 + Efficiency for rule 2.2: efficiency=50, cells=8, acells=1 + Efficiency for rule 2.1: efficiency=100, cells=4, acells=1 + Efficiency for rule 1.1: efficiency=100, cells=4, acells=2 + Selected rule 2.1 with efficiency 100. + Mapping to bram type $__ICE40_RAM4K_M123 (variant 1): Read port #0 is in clock domain \clk. Mapped to bram port A1.1. Creating $__ICE40_RAM4K_M123 cell at grid position <0 0 0>: mem.0.0.0 Creating $__ICE40_RAM4K_M123 cell at grid position <1 0 0>: mem.1.0.0 Creating $__ICE40_RAM4K_M123 cell at grid position <2 0 0>: mem.2.0.0 Creating $__ICE40_RAM4K_M123 cell at grid position <3 0 0>: mem.3.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <4 0 0>: mem.4.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <5 0 0>: mem.5.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <6 0 0>: mem.6.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <7 0 0>: mem.7.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <8 0 0>: mem.8.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <9 0 0>: mem.9.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <10 0 0>: mem.10.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <11 0 0>: mem.11.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <12 0 0>: mem.12.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <13 0 0>: mem.13.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <14 0 0>: mem.14.0.0 - Creating $__ICE40_RAM4K_M123 cell at grid position <15 0 0>: mem.15.0.0 2.9. Executing TECHMAP pass (map to technology primitives). @@ -623,684 +612,199 @@ Generating RTLIL representation for module `\$__ICE40_RAM4K_M123'. Successfully finished Verilog frontend. 2.9.2. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 +Parameter \CFG_ABITS = 9 +Parameter \CFG_DBITS = 8 Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$f34e4fc64748f57bac4a16b7abe1ff9627c0d713\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$1038d28725934469d8e7d2020b00f70a17d33ec3\$__ICE40_RAM4K_M123'. 2.9.3. Continuing TECHMAP pass. -Mapping memtest.mem.0.0.0 using $paramod$f34e4fc64748f57bac4a16b7abe1ff9627c0d713\$__ICE40_RAM4K_M123. +Mapping memtest.mem.0.0.0 using $paramod$1038d28725934469d8e7d2020b00f70a17d33ec3\$__ICE40_RAM4K_M123. 2.9.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 +Parameter \CFG_ABITS = 9 +Parameter \CFG_DBITS = 8 Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$d29ea10a8c2254f5db658036dae08160f73747d3\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$5cc69d7ecd3300dc9cfc95fc048409f9c13e60f1\$__ICE40_RAM4K_M123'. 2.9.5. Continuing TECHMAP pass. -Mapping memtest.mem.1.0.0 using $paramod$d29ea10a8c2254f5db658036dae08160f73747d3\$__ICE40_RAM4K_M123. +Mapping memtest.mem.1.0.0 using $paramod$5cc69d7ecd3300dc9cfc95fc048409f9c13e60f1\$__ICE40_RAM4K_M123. 2.9.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 +Parameter \CFG_ABITS = 9 +Parameter \CFG_DBITS = 8 Parameter \CLKPOL2 = 1 -Parameter \INIT = 4096'1001101111011111111111000011010010001110111100100110000110010101000110001101100001100110100011100111111100100101010000011011110101110010001111101010000111001101011100010100000100000001011010000001000001110010001011010011010010100011000100000111110010010010001001110111111100101110100110011011101000010010111010101110100001011001110110011110010110001101011101000110011011000100110110110000101010011110110110111011001010101110000111001110000000110001000100100100000001100011101011011001011000111101010110010000111101101111001000101111111001101001001011010010001111111000111110010010100000111111100111011110010000001011010100100111101010101100000011010110110011111000100111100010100001111001000010000001101010011110101101101100111001000100010001011001001111111101010010010111001100010010010010011100111000011110001100000000101111110001000100101111000101010110011100111100110100001010010110011011000010110110111010001011000100111010111010110111010100011100101010100100011101000101111110101100110110111100001100001000010001001110001100001000010010110101110101011010001101101011100011000110010010101111000001011110110001110010100101000101110000101001111001100001110110110010011011010111110110111111111001111101100000100101000110100001101100010000111010100111111111111111101111101001101010001101001001111101110111000100011011000000010011011110000001011011110101111010110010011101000100001101001011110111101101100111111101101000101000010000001101101001010011001001011011101001101000111100100110100101001101100001110001111000101010000110100000101000001100010001011010011100000111110110111000001110011001101001101110101001010100101001101011100010000010111010010010001100000001101110101110001001000010100001010110001101100011100011110000100111100101111010010100000101111001011000110101100001100111100001110110101010000111111000111010001100100000100110001001101010110101100001011111011011101110011000110101110101111110111011011111000110111111100100111010111100100001110110010001011011010100000011111011110001110100111101100001101010100011110110100101001010010001011111001101101100111001010110100001001001100111011010111001111010110111011101100011100001100011101101011000010001100010010110011101110100011000101000011011101000011011000000110000001011000000011110111010110000011001111100001110001000100000000110111010100101110100011000000100101100111000101010100100011111000000110110100101011000011001111101110010010000110001110110111100010010001100010101101011010011011100000111111100100111011101000000111110111101011111111001010010011010001011101011110010110000110111000101001010010001100101000110111110110100011010111000110101001000011001001001000000001100011010100110001001001011110011101111100110010100010111000011110111100100111100110011001011111101011001000110010101011100100011101001101011001001011011010111000011100110111101000101001111000101101001101110101101000010100010010010100110110010110101000000010100001000011001010011110100010111110100110111110001101111011001010010111111111110010011011111010110010101001010001100101110001010001101010001111110101100010100100100110100011100110010011110001010100001000101001010010101100110100001001110010101000001000101110110000010011110010011110110101010100100101111100010011010100111000101010001110000111000111001101001101000001010100100001000111011111011100100011011011010010001011010101011010010010011001001110001011110011001010100011101101010110100000000011011001100001001111111011110100111001101011000111001000000110110001110110011101010111110011000011001011010011011000100100001111110110111010000111000011011001000110110110010101101100101101100111001011001100010110110101100000100000110100001010011000011100101111000111100100111001110001011111010010010010010001010010101100001001000111001001001000010000110010101011001010111110100110101010101110101010010100111110011010011110111111110110110010001010011001100011001110010110000011000100100110001100000111100011010111101001010010001101100101010010111011010011111010000010110111001110001001000111111001000101110001110100000010111011010100101011110001111010001001000011011011110101100100011000001000000001001 -Generating RTLIL representation for module `$paramod$54b8ee85936b5b862f32ccf0024a595c36a339b2\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$236fa4834bad0cd01f824ed435d347351335f583\$__ICE40_RAM4K_M123'. 2.9.7. Continuing TECHMAP pass. -Mapping memtest.mem.2.0.0 using $paramod$54b8ee85936b5b862f32ccf0024a595c36a339b2\$__ICE40_RAM4K_M123. +Mapping memtest.mem.2.0.0 using $paramod$236fa4834bad0cd01f824ed435d347351335f583\$__ICE40_RAM4K_M123. 2.9.8. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 +Parameter \CFG_ABITS = 9 +Parameter \CFG_DBITS = 8 Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$70b913e53302824162354964047ff9a7c4f55ae7\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$446237c69b55ceadd7aa1adccf6ba73f79e82ced\$__ICE40_RAM4K_M123'. 2.9.9. Continuing TECHMAP pass. -Mapping memtest.mem.3.0.0 using $paramod$70b913e53302824162354964047ff9a7c4f55ae7\$__ICE40_RAM4K_M123. +Mapping memtest.mem.3.0.0 using $paramod$446237c69b55ceadd7aa1adccf6ba73f79e82ced\$__ICE40_RAM4K_M123. -2.9.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$80133ed0e502a2cbc0fb9f4f4723ae90ee3bd1b8\$__ICE40_RAM4K_M123'. +2.9.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 1 +Parameter \WRITE_MODE = 1 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1101110000010001001101000000010110101111010110110100001001111100010111001110010010011010000100111001010101100100001110001100101110111000100001000000101101011110000100101101100111101000111110000011001010001010010000101100011100001100110010101010101101011111 +Parameter \INIT_1 = 256'1011111110001010000111100110000000001000010010001100111100001110001001110100110111111011111010101100001001100000011100011100000001110000111111111011111000000111010110001111111100010001110000111000011000100001111111011000011110001011011100110100010101101001 +Parameter \INIT_2 = 256'0111000001110101101001111010011110011100110011001001011011101110110000100101110000011000100010000001100110110111100000111001100101011101101101010011101110110110100101001111011000100110001101010010010011111101111110011111101000000001010111111100001111111000 +Parameter \INIT_3 = 256'1111001110000110101011010100110101110000000000010011101101000110100110001001110111001110110000110011000010010110110011110101111101101101001000011010110111111110000010001100101000011110110101000010101010101100010111000010110001000111111010111000110001100000 +Parameter \INIT_4 = 256'0011100110110110011001110000001010111011111110010001010011111101101111101001100101110011111100111011011100101000110011010111110010110001100100001001101010101100000010000100010000100011011111100000000110111110111001001110110010000101001011110101111001101000 +Parameter \INIT_5 = 256'0110010100101111100001111110100011111001000101111010101010011001111001001001101010011110110111001100000110011101111000001111111011011001100011101110011011011000000011111010101100111010000010101100111010010011000101100100110010011110011000001101100100001110 +Parameter \INIT_6 = 256'0101100011100010000001101001100000110011111011010101001000101100100101010010001010110010111011010010101101110001110101101111110000101000100101011000100011110111010111011110011001011111101101111011001011111001010000011111010110010100011000001000010010110101 +Parameter \INIT_7 = 256'0110001001101111100101011100001100001000110010101101011100011110001100000110011111111011011011001001010010111101111110011110010011010111111110001111101001110111111101000111011101000111010011010010001001101001010010101011000010101001011010100001011011000111 +Parameter \INIT_8 = 256'0010000101011101001000101110110101111101000000110100111111110000011100110100101011001101001100000101110100101111100001110110010010010100101110101001011100001100101100110110010011001100001101110011100101110011110110000010001110001001101011101010101110100101 +Parameter \INIT_9 = 256'1010100110101111101110010011111101001001110101110100001101100101111101010110111011000001001001100000100111000101111100011101001111011010000111011110110001111010000011101100101101101011110100000110110111101011000011111011011111101000100110001001011111001011 +Parameter \INIT_A = 256'0111101110010010100001001111010110000001100000001010101101010111111110010011110001000001001101110010111010011011110110010100000010110011010010010110100111100110101111011011111011100111100001010110100100110111001110000011001001100101000100101101000110010110 +Parameter \INIT_B = 256'0000111011010101011010001011010110010001100010000111100000101100011001100100010110011000000001110000110111100001100111001000101011100011101101101100101000001101100101000010011110000011000011110000001011100100110100101001001000000100010101010100001000001110 +Parameter \INIT_C = 256'1010111111100110110011010100010011100110101100100001010010101101101110111000000000101010110101001100011010001100010110010000111110111000010010110011110011110100001110000110110001001100001111000110011000010011001000010110110010011001101100100110101111010101 +Parameter \INIT_D = 256'0011001100101011011100110100010010100111001011000110010000110000101100000101111001100111110000101001010111101011110000100001000010000110000100001010101110111111101001111110110000001000110011100101010100010011000001000011101110111000010110011010011010111111 +Parameter \INIT_E = 256'0100001000110100000000011001000011111000111011001101100010100111110000111011000101010110111110011010011001000100101010001010010001010011011100011000100111011000100110000000010111000101100010000110001000010110111000001011001010111111011111101001110001110011 +Parameter \INIT_F = 256'0000101011000111111101011000100001111110101000111110000110010101010110011100011111000110010011011100101111010000110011000011010010110000110110100100110011001010011111011001101010001001000010110110010011011011100101100101111110010101111111001011001011010100 +Generating RTLIL representation for module `$paramod$2a13c6381633c10f050590d575e21dd5a29284a9\$__ICE40_RAM4K'. 2.9.11. Continuing TECHMAP pass. -Mapping memtest.mem.4.0.0 using $paramod$80133ed0e502a2cbc0fb9f4f4723ae90ee3bd1b8\$__ICE40_RAM4K_M123. +Mapping memtest.mem.0.0.0 using $paramod$2a13c6381633c10f050590d575e21dd5a29284a9\$__ICE40_RAM4K. -2.9.12. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$f97a514d2b440ef4e918056000ac32a28b520f0d\$__ICE40_RAM4K_M123'. +2.9.12. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 1 +Parameter \WRITE_MODE = 1 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1100001001001000011000110111111010111101110001100000010100101100101101011000100101110111101011011100100000001010001110111001110011101010001001000100100110110111100001000010011100110001100000011001001000001001000010011011000000001000100111001101001011111001 +Parameter \INIT_1 = 256'0000000100101011100000010111111101010011111100001110111010111001101010000011101110110111101110100101100000111010100111001011111101110101100011111011010000010100111101101100011000010111110110001100110111001111000110000000001010001101001000001001110111111100 +Parameter \INIT_2 = 256'1001110001011011011011010111100010011001101001100101010100111100011111110111001101100010010000100000111101010001111111011011011100111001111111010111010111000111100011001110110111000010010111110110110001000011010110011111101000110001001000110100011111101100 +Parameter \INIT_3 = 256'0001100101000011010111101110010100101001000010100100111110010101010110111011010011111011001100000101010110101001010000111110010000011011011100000011010011101000001101100101111000000101111110101011010110001010100110001000011101010100010101100011001111011000 +Parameter \INIT_4 = 256'1011110110001001101111101010101010000101101010100100111010111000110000101000101000100110010111100010101111111101100001110110111101000101010111101111100111111100000101000000001001110010111110010111010001000110000110010110000010001000111001010011111011010100 +Parameter \INIT_5 = 256'1001110110111101111001100000000111100111101100000011000011111100000111001001110100001011001000111111110111011001100101001100111100010111110000111111101110000000111011100101101110000101101011111100011011101110110111101111011001101100011101100011011101011001 +Parameter \INIT_6 = 256'0000011110110101011111011011101000101010111001000010011011000010110101100011111000010111010010101011100111010101101001110101110011111111011111111101000100111110000000000111011111100001010001110101001100110110000100010000100111110000101100101101000110111010 +Parameter \INIT_7 = 256'0111100111100100110111101001010100110000000010101001110100110101001010100001000010010000100101100111000010100101111110000110001110011001101111000001100011111110001100100000110100100011110110001001001111011101010010111001011110110100100110000001001101100110 +Parameter \INIT_8 = 256'0011110011001001010000010000010001001110011101110101111100110101001101110001001101001001111110001010001001000011001101101100100100111000111010110111110001110001000011000000001101111100001001111101101011100010010000101100100000100100101110000111000000100011 +Parameter \INIT_9 = 256'0111110001010001101111110100111001010111111010010111010010100001000011000001000011100100101001000111001000100010001111111111101111011000110001011110000010010000000000000010001101011111011010001000011111100111101010111101000001010001001110011101000010010011 +Parameter \INIT_A = 256'1001100011110100001110111111100011011110001110110101100100101000011001011100110010011001110100110111110101111110110110100110001101100100010100111110001010100111111100100011110011111010110001111100110001011111001111010100101010111011001010001011100000101101 +Parameter \INIT_B = 256'1001100011100000011111110101100110000000001111101011101100010000111010000011110111010011001111100101001001010001010111001010100001001101101010100010001100011001001011100010000011100010100101000010011110000101100010000001100110111010110000011011111010111111 +Parameter \INIT_C = 256'0100100101100111001101000110000110011011100001111010000000001101010011010000101111000011010111011011010101111001011001111101000010010011101110101010110110010110100111111100011101010011111010001000001100001110011101011100010111010011110001101001001110111110 +Parameter \INIT_D = 256'1000111000110011001001111001111111110110010000110101000101011000110010101111110001111010101111010111100000011010000101101000101000010101110010111011001000101011101101100110011111010011111001011111011010011100000101011101100100110001001000001111100010110101 +Parameter \INIT_E = 256'1001011101110011100001011100011110000110110110100011011100110100110010001111101010101011010010101010011000110001010101110011000111000100010111011110100100001100110000011001010001000001110011100101100101100001101000010001111101001000111100000010110111001010 +Parameter \INIT_F = 256'1100100101011111001010000010111000001010010010100010101100100111110011111000001111100111111000100000111111111101010110001001001110111001000100111110001001101110011101010101001011011001110111111010111000011011100011110001000001111101001111001000110111110011 +Generating RTLIL representation for module `$paramod$de2bb95e5f9747ac2158f0970276228b3fb17e86\$__ICE40_RAM4K'. 2.9.13. Continuing TECHMAP pass. -Mapping memtest.mem.5.0.0 using $paramod$f97a514d2b440ef4e918056000ac32a28b520f0d\$__ICE40_RAM4K_M123. +Mapping memtest.mem.1.0.0 using $paramod$de2bb95e5f9747ac2158f0970276228b3fb17e86\$__ICE40_RAM4K. -2.9.14. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$a1c7297c5d07698b21ac139a98895b267b24d56b\$__ICE40_RAM4K_M123'. +2.9.14. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 1 +Parameter \WRITE_MODE = 1 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0001010101010000111110010010001111000101101001000011000011000101110100111110000110100100010001011111111111101011000000010101110001000011101011011010110011000111110100111001010011010101101101011000110111010010001011110001111101001101011101010111011111111000 +Parameter \INIT_1 = 256'1110010001100111110101010101000010010100000100101100100011100110000001101001000100001101110100100100111110100000101100111111010011000011110111100111110011111000100100000101001111100011110101010000001010000000001010100001110011100101011111010111110111011101 +Parameter \INIT_2 = 256'0001111111100101111011000010010010001001000111111101001011010000010100001001001110110011000000001001001000111010011100110011010010010101001101101100010110100011101111111101000100111101010101011011011001000000100101011111101001100000000100111001111101100010 +Parameter \INIT_3 = 256'0010111100110110100011101101100100100010101000001101111000000001000000101000110110010111001100010101000000010111011011011001100010101011001111001100101110101001111011001000000010100101110000000110111111101010111011111110001010110110101100101111111111001111 +Parameter \INIT_4 = 256'0111000101010110111001101001111000111111110000000011110100110001000111110111100011010111111101000111000111000001111011011000011111111001001101110011110000001011110101111011000101011100001101100111000101010101001011101101101101000100101110011111111100110100 +Parameter \INIT_5 = 256'0101010010011101011011010101000011111001010010110101010001010010111100100010110001101100110000011001111001010010111011111011111011100000010101101100100011100001010101100110000101000110110111010000110110111110101000100101010010000111111010000010011110111100 +Parameter \INIT_6 = 256'0100100000010100111111010011001010001001011110000110010100111110110001011111110011111011000001100000000011001110010101000101111111010010010101111010010011011011110011011001001110001101000010000010011111000010111010011000010111100010110001111110010101010110 +Parameter \INIT_7 = 256'1111011110010001000101011010010100010011010101110100011111101101100101110110011000111000101100011100010001100110100110000101000100111110011011000111011101010101101101010101001100110100000101000111000101111010000111100010110010000010000011100001110011111110 +Parameter \INIT_8 = 256'0011000001001111001001110001101011101111000011000111101100100000000101111100011000100110101111001101101101001011110100110111000111110110110010111001111000110101001100100000110100010111001111000010001110110111100110101011000000000100110100011110010100100011 +Parameter \INIT_9 = 256'1011000011100011110101110100010111101010110101010111011011000101110001000100100010010101111001000100010101011000111111101111111000101011001000111101110110011111111001010100111101010101011100100110111101001001101011011011111011111000100011110001111011010001 +Parameter \INIT_A = 256'0010110111111100000001000001110100010011011100101000000010100001101000110010100000011111100010000110011010101001100000100110100110011110010010010011010100101001100010111001010000100111001000110111110111001100000111001101010000001101000001110000101011000101 +Parameter \INIT_B = 256'0010101010001000110110110100000011000100100100111010111100110001100111001010111000001111000110011101110000101100010110001011111110110101100111111101000000000100010011011101100001000110111111100011110101100100010010011110001101110101001111011000010011110110 +Parameter \INIT_C = 256'0110101011001010100011101000110011111010011100110011001010001100100110101011101011001001110110110011010100001000101001011010101100111111001000011000100100011101110000000110001010010001011100100101101000100100010111001111100000110111011111100010101010010011 +Parameter \INIT_D = 256'0000100011101110101011001000000110101110111111011010100001010000100110011011101011110100100011101011100111000011101110010000010110011110101010000010000011000000111100010100001100001010000110110110111011000011100101010101001011010110011110000001111101100001 +Parameter \INIT_E = 256'1000011111001010101110010110011111100010001100011111001111001100000000010101011100100011101110111111011011101101100001111011000010011010011110011110010100000001010101011101011010001000101100111110111000000000010100100100000000001110110111011011010000110101 +Parameter \INIT_F = 256'1111101111111000100101010101100001010100110101111000111011111101110011001100110111100110001100010001111101111001011101000100000010011010011010000100101101110010000110111101010100101001001000000111101110000011010101101000100010101100010110111010100000101010 +Generating RTLIL representation for module `$paramod$cb6b0f67ab14626e9461e88b61012df03159e4cf\$__ICE40_RAM4K'. 2.9.15. Continuing TECHMAP pass. -Mapping memtest.mem.6.0.0 using $paramod$a1c7297c5d07698b21ac139a98895b267b24d56b\$__ICE40_RAM4K_M123. +Mapping memtest.mem.2.0.0 using $paramod$cb6b0f67ab14626e9461e88b61012df03159e4cf\$__ICE40_RAM4K. -2.9.16. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$c02feb6cffe46223ae1ed3b34a9ebbbf5b325211\$__ICE40_RAM4K_M123'. +2.9.16. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 1 +Parameter \WRITE_MODE = 1 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1100000110010100101101110101000001101101011000011010000011100101000110011010110100001011111001000101110111110110010101101110000001000100101001011101100010011010111111001100001110111011110110011010000110110001101010010000110101000100101111010011000100111100 +Parameter \INIT_1 = 256'0110110010110111011011110001110010110001010000000001001101110000000110101001011111011010111100101010011110000011001110101110100110001110011010100010010000010011101101100001001000011101110111101000011110101110011010000101000101010011111101111101111010111010 +Parameter \INIT_2 = 256'0010000000000011110111011000101100011100010101110110101001110001111010011101010010101101000011100000000000100100110101101001100000110110101110001110001010000101100100010010001010010100011011101010101001111000011100001010010110010110010000010110101111100010 +Parameter \INIT_3 = 256'1000001100000111101100011110101110110111111010001001010100001000000110100100111001100101100000010110011101100011100101101010001101001010000111011101000011100100000110110011101110001000110011000010011100101111001001110011011110011001001111110100010011010000 +Parameter \INIT_4 = 256'1100101100101011101011010000110100001010110001100000111110000010011111000001100111111111111111001100001101011111000111111110000111000011100100011001110101010001011101100111000000001011011110100111111101111011001010001010011000010010010001100001000110101100 +Parameter \INIT_5 = 256'1111111001000010010100000110101101011011100000000101011111001001010101110001001001011010101011001100101011001110101111001001100010101011100001001100011010000000111111110111000001000001001011010110100010010100101110110001100110001000000010001011000011110000 +Parameter \INIT_6 = 256'1011110100111011101100000000000101010010010001010001100011010101001101010011100111011011111110010000110100010001111111100101101010000101110111010010111100000100101001101101111100010011000010110000001101101011111001011111010010000011010001111101010000001001 +Parameter \INIT_7 = 256'1101011000101101011010110110010000001111001110000101110100000001110101111000101100111101000100011110001101100111010010110111100111110011110011101110011000110001000011100101111010110111101010101111001101000010101111110100100000100110010011110111111001000001 +Parameter \INIT_8 = 256'0111000010000101010010001110111011101101111011001100110111100110101010100011110010101110111101010110100001000110000010100001000011011000100110010111101100001001110010011101101000100000001111010001000111000001111111000101000011001110110110100100000000001001 +Parameter \INIT_9 = 256'1011011111001001010100010011111110100010001101010000100011000011110011001000110111010100000001101101001000001001010010010011000100001110000100010011011011011111000010111000010110100110111000101110001110100100100000010111010011000001011011111110110011100110 +Parameter \INIT_A = 256'1001011101100011110010100010010001001101011011110001001100110001010101001100101000000001100110110001111101001100010011001000011010101110000001000100000111000101000010001000111110001010010010100101111011101000010111101110010101000000011111001010010011100100 +Parameter \INIT_B = 256'1110001010100011011100100101100010010010010111011111110110000001101100110101001001100101000110100000010010100001100111010100111110001011001100111100001010100000101101100101100001010000100101001110000010010010011001001001000111111011111100001010111001011101 +Parameter \INIT_C = 256'1100000101000010011110110010010111110011010010100110001001110001011110111111010101010101000110111010100100001110100000000010101101011100110011001010101111100010101011001100000000101010100111101000011101010011110110110010100100101011010111111001100001100101 +Parameter \INIT_D = 256'0010011000100001111011010011110000000111001011111000000010011001001000010111101001111000000001101101010011101001111011001011101101101111111010110100011000001101010110000000010011011001100111101001110011010011101100101010111100011101011010101010001110110000 +Parameter \INIT_E = 256'1101000110010110100111110101000110100111110000101001110001101110100110000001110000110101101000001001110101100100000110100100110011110101111000011101000111011100101101001001010111111000011010011010011101111001000101110000011100001101011010010011110111010000 +Parameter \INIT_F = 256'1001101111011100110111000111111010000110011101000011101110100001101101111000110100011100101000001001000110000100001110000011010111111101100010001010001010010101011101011001010110000001001101111010010001111010000001101000111000011110010111111001110111110110 +Generating RTLIL representation for module `$paramod$d46a79f9db485f5e0ae25ea95384f4a64dd34959\$__ICE40_RAM4K'. 2.9.17. Continuing TECHMAP pass. -Mapping memtest.mem.15.0.0 using $paramod$c02feb6cffe46223ae1ed3b34a9ebbbf5b325211\$__ICE40_RAM4K_M123. - -2.9.18. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$7b8cb1da04fed3d66ce255399d919ce21f80702b\$__ICE40_RAM4K_M123'. - -2.9.19. Continuing TECHMAP pass. -Mapping memtest.mem.14.0.0 using $paramod$7b8cb1da04fed3d66ce255399d919ce21f80702b\$__ICE40_RAM4K_M123. - -2.9.20. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$8073cf2e09cf7860fd8803aa0caf58a2b7b19846\$__ICE40_RAM4K_M123'. - -2.9.21. Continuing TECHMAP pass. -Mapping memtest.mem.13.0.0 using $paramod$8073cf2e09cf7860fd8803aa0caf58a2b7b19846\$__ICE40_RAM4K_M123. - -2.9.22. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter \INIT = 4096'0010111100001011100011001101110101000101000101101011110100110001010000010111111111000010010100111000110111110001001110101110100011001110010111011000001000001110011000010111101010100100110010100000111001100011110010111100001101110110100100110010011100101001111101010000101111010011010111111100000010100100101111011001100101001010111101111000110110100111111000101101101000111111100111001111111001110101011010010101010001010011011000011011010000010101101001001111011110010011100010000101000011110100110001011110101010101101001111101101100000101101001011100110100111001011001101011010111111010111101010101101100100110100100110100010010111110100111000010010011100111010101000110111011000011001011100001000001000100101011101100111010001001111101100000001000110100100000111001110011110001001100001100011001110001010101101000101000101100010100011011110111011101001000100011110010001111111101000101110010100010100010001110010000011001101010001001110010100011000011010111010100001101000111001011100011000010111010111100111011110010000010000100000010101110110100111000010100000111110000110111010010100110100111110001011001100000100001110101110000011000101101110111010011001101100101101101101000110100100001111000111100010010101011001100000001111101010000011011010111000001100010000111101110100011101000000100100000101100010110100110010000100001100010011111110000010111000000111100001001001000000001000000111000001110000100010010110000101010100011111011001101000101001100111100011011111110000101000011101101111110110001001011100100000001111101111000111111101100010001111101111101101110000010010001101111010011001101111101110000111011111100100111110100111011011010010101001000101011011000000010111111100100100110011001000101100111110110011110000000110011001100111001000100011111001111001111001101111110100110101110011100011010101101100001110011111110110101101000110000000001011111111010101101001100010011101111111001111010001011111000001100010011110110101101010101110001110111011001001100101110001110110011110100011001010111001010011100001000000000100000111000101001011110110010000001011101011110011101001101011111010000111000111110001001001111100011011101111011000101001001001000100010001100110110010101001100101011000000010010111100011110110001100011001100101110011001111101100100101001001101001110010001100100111101000010100011000101010100110011100111000100100111101101101010001110100011001111100001011011110011101100101001101100111011010010001111000001111011111110100000111000011100110111001011000110100011011010010010010010011111011001011111100011111110000100101110010101100100110001101001100011010101110000111000101010011010011110100010110000101111000011100101011100010010110101101000111011100010110011101001000000110111101010010001000011101100101111100001000010111011110110111011111000101011110110010111111100101001101100100001110101001110111010001001001000110001000100110001011100000101001010000101100101101011111001011001010111111000101111010010010111001001011110101110011011111010001011100110010011011011010001000111001000011001110111110010101100111110100101001011011000011111011000010000000100000011111110101000111100000100010001010011000001011101101101111100011011111000100110000111111100100110110110001101000011100110100100001000010010011001111100100001111100010001011000110011000001100010000110100111001100001001010111000001110100010001100011011001111011011001100000010100011100011111100011001110010011011100000001000101000111001011010110000000011110101100111101011000101100011101101110001110100001100101000011110000001011000000101011011010111110001110000000010000100001101100010101010101001110010110011111100101110100100101000101001101101011101000110110100100101011110100111000000110001110110011000001001011111010011010000011101000010000100110110110100011010101111000011101001111101111001010111110010101110111010101011100001010011010000011110000101011101100110000000110101011111010101001110110101101101000100010010100010000011100100000111100001101101010100000110101011010000100101011110011011111100010111011010100000110010001101001110000011000101000100101001110010000111111010001100010101111110 -Generating RTLIL representation for module `$paramod$7601470b7257c750062785939abb2cd6c78f255e\$__ICE40_RAM4K_M123'. - -2.9.23. Continuing TECHMAP pass. -Mapping memtest.mem.12.0.0 using $paramod$7601470b7257c750062785939abb2cd6c78f255e\$__ICE40_RAM4K_M123. - -2.9.24. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$ed9f319bde7ce6671112de05e5b97d1e60af8ddd\$__ICE40_RAM4K_M123'. - -2.9.25. Continuing TECHMAP pass. -Mapping memtest.mem.11.0.0 using $paramod$ed9f319bde7ce6671112de05e5b97d1e60af8ddd\$__ICE40_RAM4K_M123. - -2.9.26. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$445d200a8547cde57317e073680b424a372d97e1\$__ICE40_RAM4K_M123'. - -2.9.27. Continuing TECHMAP pass. -Mapping memtest.mem.10.0.0 using $paramod$445d200a8547cde57317e073680b424a372d97e1\$__ICE40_RAM4K_M123. - -2.9.28. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter \INIT = 4096'1101101000000010010011000100000001111100110000000100111101100100110111100101100010101101110011000010101110010000010001010001001111000001110111100010111001110100101001010011000001101111010000111001101110100101010011111000001101111000011111100001000111110100001111000001111000110111111000010000000000110000001001001011100110000100001101100000111100101110001011001100110010110111010101110011110101011100101111010010010110011101101111101010010010001000010001010110111101100110111001110100011001101111001011011100010110111101011011111010110101110001010000111100100000010010000000110010100101111000011010100100101011001011100111101111100110111101000110100011000000001000110100010001000010011101101101011011101000011100110010111101010110101000001011110100111011101101000100111111011111001110000000110000100011001111000000111111011111001010110100110100100010000110101010010000000111111111001110110111001110011001111100011000010010110010000001010010001011011100000011100010011011101001111111010110010001010000111011111110000001110101100001100010110100011101110000110000111011111110101110101110010101100000000000111101100011101000101001110101101010000000001000100101101100010100110100010000001001100010001111001001111000000010100111100001001011111100001101000101100010000010110011111000011100000010001001101111100110000111001101000010110001111010100101001010001011100000100000101110010100001110110010100111111001100111111001100010110011000001111011100001010101100011001011110111000110000010111000001010000100111001100100100100010101111001101001011101010100101001110110101001001101001010110110010001100101111011000100100010010110111000110111101011001110000001010101011010001101001111110000111000000111010011101100111011111000010000011000110001011010010100011110010001000111000001001000110000100100100101111101110001010011111101010101101000111000100111000110110110110101010100100000101111111110111100100111001111110000000001000100001100110110011100100111100001110001101101110100000111110011001000101110111000101101010100001111000011011101010111000111000111101000111111101011101110001010101101101111101000001110000100100110011010100111001011110100110100000010000010000011011010011110111010010100001101011001000101100000100100100011110100010001100101001101000100000000001100000010110000101100100011111010000110101010100001101000101101001001111001111100010000101111000000100010101110101111111001111100110011001010000111100110110000000101010011011000100001010100001110010010010011110100000110011100101000100111100000100110000110010110101011101100100110110011011100011101101000101001111110011011101100010000010101001111000101110101011110101011110100001010010111101011111100000000010001101110100000100111001011000111000001111110010001100001110111011000001101101011011011111011010011100110010101111111001101100101100010011110011011110100101011110001011111101111110011001110111101111100111010000110001101001111011010100011101110100000111110001010110110110101000111010111001110010110011100110100101110110000101001100110110010111010100100101111101010100001110101101010000000110011100101001000111100101110010100010110011010000110010110001111110100101100001001111011001110100011100000100001011010011011100011010001010111011010001001110001111000101101110111110001110110110100011001101000101100101000110011011000010011101000000001101100011001000111111001101100110111010001000000010100110001010111001000001010001010100010000000011000110011011011001111001010010111101001001101001011010110110010010010011110101111111011010010100001010111100000110001010000111010111110110010100001100001111011001100111010111000011111111111100111101011110000001100101001101101100111001101001011011000111101001000001010011011111010001100011100000001101100111101001001010001000101001111101001111101110000000010100001001011001010101110101011110001101110010011100100001001101110010001010111000100111010011011101111011100001101011101110010111111010010001011011110110010110111101011011110011101100111111000010001011111010101110000000101010100001010100110100001110100010101001111101101101011011001110011111111110001111111000010101010110010010111011111 -Generating RTLIL representation for module `$paramod$fd670452d9656a3e5387849f125a1ac30d4f6a6c\$__ICE40_RAM4K_M123'. - -2.9.29. Continuing TECHMAP pass. -Mapping memtest.mem.9.0.0 using $paramod$fd670452d9656a3e5387849f125a1ac30d4f6a6c\$__ICE40_RAM4K_M123. - -2.9.30. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$cfd4752fe4396a11f4067e795ded473203abc81d\$__ICE40_RAM4K_M123'. - -2.9.31. Continuing TECHMAP pass. -Mapping memtest.mem.8.0.0 using $paramod$cfd4752fe4396a11f4067e795ded473203abc81d\$__ICE40_RAM4K_M123. - -2.9.32. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 11 -Parameter \CFG_DBITS = 2 -Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$5009aff4b1d58846e35b9e6a574f5b3bbebc226b\$__ICE40_RAM4K_M123'. - -2.9.33. Continuing TECHMAP pass. -Mapping memtest.mem.7.0.0 using $paramod$5009aff4b1d58846e35b9e6a574f5b3bbebc226b\$__ICE40_RAM4K_M123. - -2.9.34. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111 -Parameter \INIT_1 = 256'0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001 -Parameter \INIT_2 = 256'0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000 -Parameter \INIT_3 = 256'0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000 -Parameter \INIT_4 = 256'0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000 -Parameter \INIT_5 = 256'0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010 -Parameter \INIT_6 = 256'0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001 -Parameter \INIT_7 = 256'0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011 -Parameter \INIT_8 = 256'1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001 -Parameter \INIT_9 = 256'1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011 -Parameter \INIT_A = 256'1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010 -Parameter \INIT_B = 256'1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010 -Parameter \INIT_C = 256'1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101 -Parameter \INIT_D = 256'0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111 -Parameter \INIT_E = 256'1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111 -Parameter \INIT_F = 256'1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100 -Generating RTLIL representation for module `$paramod$cbb4b05670fab0c57b8d232886128f1d03d9d12d\$__ICE40_RAM4K'. - -2.9.35. Continuing TECHMAP pass. -Mapping memtest.mem.0.0.0 using $paramod$cbb4b05670fab0c57b8d232886128f1d03d9d12d\$__ICE40_RAM4K. - -2.9.36. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001 -Parameter \INIT_1 = 256'0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010 -Parameter \INIT_2 = 256'1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111 -Parameter \INIT_3 = 256'1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010 -Parameter \INIT_4 = 256'1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110 -Parameter \INIT_5 = 256'0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100 -Parameter \INIT_6 = 256'0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111 -Parameter \INIT_7 = 256'1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100 -Parameter \INIT_8 = 256'0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110 -Parameter \INIT_9 = 256'1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000 -Parameter \INIT_A = 256'0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001 -Parameter \INIT_B = 256'1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000 -Parameter \INIT_C = 256'1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101 -Parameter \INIT_D = 256'1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011 -Parameter \INIT_E = 256'1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011 -Parameter \INIT_F = 256'1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101 -Generating RTLIL representation for module `$paramod$b73ffb7dfcbd93c60e85ae9ac7150e85e2cd1c23\$__ICE40_RAM4K'. - -2.9.37. Continuing TECHMAP pass. -Mapping memtest.mem.1.0.0 using $paramod$b73ffb7dfcbd93c60e85ae9ac7150e85e2cd1c23\$__ICE40_RAM4K. - -2.9.38. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111 -Parameter \INIT_1 = 256'0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101 -Parameter \INIT_2 = 256'1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011 -Parameter \INIT_3 = 256'0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100 -Parameter \INIT_4 = 256'0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110 -Parameter \INIT_5 = 256'0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001 -Parameter \INIT_6 = 256'1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100 -Parameter \INIT_7 = 256'0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010 -Parameter \INIT_8 = 256'1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111 -Parameter \INIT_9 = 256'0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011 -Parameter \INIT_A = 256'0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001 -Parameter \INIT_B = 256'0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110 -Parameter \INIT_C = 256'0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111 -Parameter \INIT_D = 256'0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010 -Parameter \INIT_E = 256'1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000 -Parameter \INIT_F = 256'1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110 -Generating RTLIL representation for module `$paramod$f8c821864499ec5a32f2425089cf17912c41d135\$__ICE40_RAM4K'. - -2.9.39. Continuing TECHMAP pass. -Mapping memtest.mem.2.0.0 using $paramod$f8c821864499ec5a32f2425089cf17912c41d135\$__ICE40_RAM4K. - -2.9.40. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010 -Parameter \INIT_1 = 256'0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100 -Parameter \INIT_2 = 256'1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000 -Parameter \INIT_3 = 256'0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100 -Parameter \INIT_4 = 256'0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101 -Parameter \INIT_5 = 256'1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101 -Parameter \INIT_6 = 256'1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100 -Parameter \INIT_7 = 256'0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101 -Parameter \INIT_8 = 256'1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110 -Parameter \INIT_9 = 256'1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101 -Parameter \INIT_A = 256'1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001 -Parameter \INIT_B = 256'0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100 -Parameter \INIT_C = 256'1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110 -Parameter \INIT_D = 256'1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010 -Parameter \INIT_E = 256'1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101 -Parameter \INIT_F = 256'1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111 -Generating RTLIL representation for module `$paramod$d20d03106414b31f6d01ddd06e4caced3bed4d08\$__ICE40_RAM4K'. - -2.9.41. Continuing TECHMAP pass. -Mapping memtest.mem.3.0.0 using $paramod$d20d03106414b31f6d01ddd06e4caced3bed4d08\$__ICE40_RAM4K. - -2.9.42. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101 -Parameter \INIT_1 = 256'1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100 -Parameter \INIT_2 = 256'1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100 -Parameter \INIT_3 = 256'1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000 -Parameter \INIT_4 = 256'0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100 -Parameter \INIT_5 = 256'0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001 -Parameter \INIT_6 = 256'1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010 -Parameter \INIT_7 = 256'1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010 -Parameter \INIT_8 = 256'1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011 -Parameter \INIT_9 = 256'0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111 -Parameter \INIT_A = 256'0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101 -Parameter \INIT_B = 256'0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011 -Parameter \INIT_C = 256'0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110 -Parameter \INIT_D = 256'0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101 -Parameter \INIT_E = 256'1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110 -Parameter \INIT_F = 256'1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011 -Generating RTLIL representation for module `$paramod$8b50928abdad807defadcd395783734b2b08c84a\$__ICE40_RAM4K'. - -2.9.43. Continuing TECHMAP pass. -Mapping memtest.mem.4.0.0 using $paramod$8b50928abdad807defadcd395783734b2b08c84a\$__ICE40_RAM4K. - -2.9.44. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011 -Parameter \INIT_1 = 256'0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011 -Parameter \INIT_2 = 256'1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010 -Parameter \INIT_3 = 256'0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101 -Parameter \INIT_4 = 256'0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101 -Parameter \INIT_5 = 256'0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101 -Parameter \INIT_6 = 256'1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111 -Parameter \INIT_7 = 256'0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110 -Parameter \INIT_8 = 256'1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010 -Parameter \INIT_9 = 256'1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101 -Parameter \INIT_A = 256'0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110 -Parameter \INIT_B = 256'1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011 -Parameter \INIT_C = 256'0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011 -Parameter \INIT_D = 256'1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111 -Parameter \INIT_E = 256'1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100 -Parameter \INIT_F = 256'1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111 -Generating RTLIL representation for module `$paramod$24d504412f6d7aa1e0f7de987e7f387f85a4094d\$__ICE40_RAM4K'. - -2.9.45. Continuing TECHMAP pass. -Mapping memtest.mem.5.0.0 using $paramod$24d504412f6d7aa1e0f7de987e7f387f85a4094d\$__ICE40_RAM4K. - -2.9.46. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010 -Parameter \INIT_1 = 256'1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001 -Parameter \INIT_2 = 256'0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111 -Parameter \INIT_3 = 256'0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111 -Parameter \INIT_4 = 256'1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010 -Parameter \INIT_5 = 256'0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111 -Parameter \INIT_6 = 256'1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101 -Parameter \INIT_7 = 256'1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011 -Parameter \INIT_8 = 256'0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100 -Parameter \INIT_9 = 256'0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000 -Parameter \INIT_A = 256'0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100 -Parameter \INIT_B = 256'1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010 -Parameter \INIT_C = 256'1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011 -Parameter \INIT_D = 256'0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000 -Parameter \INIT_E = 256'0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001 -Parameter \INIT_F = 256'1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001 -Generating RTLIL representation for module `$paramod$3ac7d8d7a788c2eee8ce9642651229ad12a4c75d\$__ICE40_RAM4K'. - -2.9.47. Continuing TECHMAP pass. -Mapping memtest.mem.6.0.0 using $paramod$3ac7d8d7a788c2eee8ce9642651229ad12a4c75d\$__ICE40_RAM4K. - -2.9.48. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011 -Parameter \INIT_1 = 256'1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001 -Parameter \INIT_2 = 256'1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110 -Parameter \INIT_3 = 256'1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000 -Parameter \INIT_4 = 256'1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001 -Parameter \INIT_5 = 256'1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111 -Parameter \INIT_6 = 256'1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101 -Parameter \INIT_7 = 256'1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011 -Parameter \INIT_8 = 256'0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100 -Parameter \INIT_9 = 256'0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110 -Parameter \INIT_A = 256'1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010 -Parameter \INIT_B = 256'0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010 -Parameter \INIT_C = 256'1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101 -Parameter \INIT_D = 256'1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010 -Parameter \INIT_E = 256'0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011 -Parameter \INIT_F = 256'1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101 -Generating RTLIL representation for module `$paramod$be7ac40a51c787e38583a6712985561e11fbc125\$__ICE40_RAM4K'. - -2.9.49. Continuing TECHMAP pass. -Mapping memtest.mem.15.0.0 using $paramod$be7ac40a51c787e38583a6712985561e11fbc125\$__ICE40_RAM4K. - -2.9.50. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001 -Parameter \INIT_1 = 256'0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010 -Parameter \INIT_2 = 256'0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111 -Parameter \INIT_3 = 256'0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000 -Parameter \INIT_4 = 256'1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101 -Parameter \INIT_5 = 256'1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100 -Parameter \INIT_6 = 256'0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000 -Parameter \INIT_7 = 256'1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110 -Parameter \INIT_8 = 256'1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100 -Parameter \INIT_9 = 256'1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100 -Parameter \INIT_A = 256'0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000 -Parameter \INIT_B = 256'0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110 -Parameter \INIT_C = 256'0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100 -Parameter \INIT_D = 256'0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011 -Parameter \INIT_E = 256'1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101 -Parameter \INIT_F = 256'1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101 -Generating RTLIL representation for module `$paramod$43ee040a7a0e0cae38b99891f31467acf906d27f\$__ICE40_RAM4K'. - -2.9.51. Continuing TECHMAP pass. -Mapping memtest.mem.14.0.0 using $paramod$43ee040a7a0e0cae38b99891f31467acf906d27f\$__ICE40_RAM4K. - -2.9.52. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011 -Parameter \INIT_1 = 256'1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011 -Parameter \INIT_2 = 256'0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110 -Parameter \INIT_3 = 256'0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101 -Parameter \INIT_4 = 256'0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110 -Parameter \INIT_5 = 256'0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111 -Parameter \INIT_6 = 256'0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000 -Parameter \INIT_7 = 256'1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000 -Parameter \INIT_8 = 256'0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100 -Parameter \INIT_9 = 256'1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110 -Parameter \INIT_A = 256'1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010 -Parameter \INIT_B = 256'0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001 -Parameter \INIT_C = 256'0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110 -Parameter \INIT_D = 256'1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011 -Parameter \INIT_E = 256'1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101 -Parameter \INIT_F = 256'0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111 -Generating RTLIL representation for module `$paramod$c88d7c4436960e4714f331f1468ed0db59974b0d\$__ICE40_RAM4K'. - -2.9.53. Continuing TECHMAP pass. -Mapping memtest.mem.13.0.0 using $paramod$c88d7c4436960e4714f331f1468ed0db59974b0d\$__ICE40_RAM4K. - -2.9.54. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100 -Parameter \INIT_1 = 256'1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110 -Parameter \INIT_2 = 256'0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010 -Parameter \INIT_3 = 256'1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100 -Parameter \INIT_4 = 256'1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000 -Parameter \INIT_5 = 256'0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000 -Parameter \INIT_6 = 256'1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001 -Parameter \INIT_7 = 256'1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101 -Parameter \INIT_8 = 256'0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101 -Parameter \INIT_9 = 256'0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110 -Parameter \INIT_A = 256'0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000 -Parameter \INIT_B = 256'1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001 -Parameter \INIT_C = 256'1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101 -Parameter \INIT_D = 256'0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100 -Parameter \INIT_E = 256'0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100 -Parameter \INIT_F = 256'0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010 -Generating RTLIL representation for module `$paramod$00cfa1a09c31f8672099a8eedc17696b3908e1c3\$__ICE40_RAM4K'. - -2.9.55. Continuing TECHMAP pass. -Mapping memtest.mem.12.0.0 using $paramod$00cfa1a09c31f8672099a8eedc17696b3908e1c3\$__ICE40_RAM4K. - -2.9.56. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011 -Parameter \INIT_1 = 256'1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011 -Parameter \INIT_2 = 256'1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101 -Parameter \INIT_3 = 256'0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111 -Parameter \INIT_4 = 256'1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111 -Parameter \INIT_5 = 256'0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110 -Parameter \INIT_6 = 256'0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110 -Parameter \INIT_7 = 256'0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101 -Parameter \INIT_8 = 256'0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010 -Parameter \INIT_9 = 256'1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101 -Parameter \INIT_A = 256'0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000 -Parameter \INIT_B = 256'1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000 -Parameter \INIT_C = 256'0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010 -Parameter \INIT_D = 256'1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001 -Parameter \INIT_E = 256'0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011 -Parameter \INIT_F = 256'1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010 -Generating RTLIL representation for module `$paramod$d02f8b69728aa273339f27f72a108917c00339c5\$__ICE40_RAM4K'. - -2.9.57. Continuing TECHMAP pass. -Mapping memtest.mem.11.0.0 using $paramod$d02f8b69728aa273339f27f72a108917c00339c5\$__ICE40_RAM4K. - -2.9.58. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1100010111000001000101101010000100100101001111011111010010000000000100001111111110000001100010001011001110010011011000001100110101111100110010110000101111001000111111000001001100100001110000010000011110111101111000110011001100001111100010011001100101111011 -Parameter \INIT_1 = 256'0110100110111000100100011011010100010001110000000111111000101100011110010001111010101011010111010100101101110111110111000001011100000100000100111000111110100000000110000000010001100100000001110110010001100010111000101100101011000101011101010001001100110001 -Parameter \INIT_2 = 256'1010011101100111000001111100110010110110110110011011000000001110011111000100100010111000101101111011110000101110010100001111001101111101110001010000100110110101000011111011011110111111111000010100010101101010100111011010000100111100100110001101111110000011 -Parameter \INIT_3 = 256'0010011101111011111101111001101010001100100000100101011100000010110101000101011000000001110000110110010011011000101010110001100110111110001111111110011001100011111010110101110010100101010000010101011110111011010101111011101101001101111111100001011110001111 -Parameter \INIT_4 = 256'0010010011011001001010011000011010010111100011111111101100000001101111110000101101000101101010110011100011001001000000111010110110010110001011011000001111100100010101010010111101101111010101001001010011001101000100110011111011110101001110001010101101100011 -Parameter \INIT_5 = 256'1100100111001000001010111010110100011010110011010001100100010100011110000100111000110011111011000111111110111010100001110001111110011000010001000010101001010100000111010010101011101101101011101000111101101001110000000000111000011001001010110100000101000011 -Parameter \INIT_6 = 256'0110101010100000010010111101110101110010001100010101010101000101000010011010010111111110001001110001000010011100000110011110010000001100101111101111100101111100111000110111110111000111101110010100000111000111100111100000010110001000001101101101010110101101 -Parameter \INIT_7 = 256'1111100111100111100001011010100110010100110000111101110100001011001001010110011111010010001010001100110110000000110101100101010000101011100110101100110100011111000100011101010111000101111100000101010011010101110000110010111010001100100010100110101101101100 -Parameter \INIT_8 = 256'1011100010110000101011010101001110010011111110110111111000100011111100010011111100111101111110100111111000101011111000000111111111111101101101101001011111110110101011001110111011111101111100111100110000101011011000100110011010000001110010001111100111010101 -Parameter \INIT_9 = 256'1101010011010100110010010111001111110110110011101101010110111110111011011000100001110001110011010001000110000001100011110010101001000110111100111000101110111101000111011111100111110101011110010011001100100011001111110000100100111110101101001101001100101110 -Parameter \INIT_A = 256'1100001111011101101001010011110011101000011000111000100011100000110011001100111110101111011100110110110111111110111100001110101001011111000001101001000101100101100101101001111111101001111100110100111101100001110001111111010010010011001110011100011000101010 -Parameter \INIT_B = 256'1110111011000110111101101000011110011001010000001011011101010011000001111111000000011011110001111001001100011000010101101001010001111001001101010101110010101000010000110011010111100101001101100010011110010101100110101011010101100101111011011111010111101000 -Parameter \INIT_C = 256'0111111010111110010010110111111011101110101110100000000010001010010001101111001010101010000001011001010100100001011001011110000110001111100001110110111011000101001101001100100010001100110111011001111001001010000100110100110000111001100110110001111000100010 -Parameter \INIT_D = 256'0010001011010000110001111111110001101011110100100001001011100100001110101110000101101101111101000010101000001001001011101110000110110111111001100111100010010000110111000101010101001010011000101111101111001110110010011010100100111001001111101010111111010111 -Parameter \INIT_E = 256'1000100100011011010000101011110111101000101101101110000000011011010111001110110100110000101000111111100111100110101010010100101100100010111111100001110111111101011101011110110100010010010010000000101101001110000110001100101000100011011101100000100111001100 -Parameter \INIT_F = 256'1100001011001011010111011100000101111101010001001111111100111110011000111111000011001101001111100010101100010011111001010001000010100110010000101010111010110111001000100010111110010110011001010101101000001011001111010000001000001011101101000110111011011000 -Generating RTLIL representation for module `$paramod$ad2999c67c0cc33071080a5b0a3003b439ff4ffe\$__ICE40_RAM4K'. - -2.9.59. Continuing TECHMAP pass. -Mapping memtest.mem.10.0.0 using $paramod$ad2999c67c0cc33071080a5b0a3003b439ff4ffe\$__ICE40_RAM4K. - -2.9.60. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111 -Parameter \INIT_1 = 256'0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101 -Parameter \INIT_2 = 256'1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010 -Parameter \INIT_3 = 256'0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000 -Parameter \INIT_4 = 256'0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111 -Parameter \INIT_5 = 256'1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011 -Parameter \INIT_6 = 256'0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001 -Parameter \INIT_7 = 256'0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111 -Parameter \INIT_8 = 256'0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110 -Parameter \INIT_9 = 256'1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001 -Parameter \INIT_A = 256'1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100 -Parameter \INIT_B = 256'1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011 -Parameter \INIT_C = 256'0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001 -Parameter \INIT_D = 256'1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010 -Parameter \INIT_E = 256'0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011 -Parameter \INIT_F = 256'1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110 -Generating RTLIL representation for module `$paramod$7e6f70126579c46893ed82c0a9723a8ee513c98e\$__ICE40_RAM4K'. - -2.9.61. Continuing TECHMAP pass. -Mapping memtest.mem.9.0.0 using $paramod$7e6f70126579c46893ed82c0a9723a8ee513c98e\$__ICE40_RAM4K. - -2.9.62. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100 -Parameter \INIT_1 = 256'1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001 -Parameter \INIT_2 = 256'0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110 -Parameter \INIT_3 = 256'0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011 -Parameter \INIT_4 = 256'1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000 -Parameter \INIT_5 = 256'1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000 -Parameter \INIT_6 = 256'0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010 -Parameter \INIT_7 = 256'0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010 -Parameter \INIT_8 = 256'1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111 -Parameter \INIT_9 = 256'0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001 -Parameter \INIT_A = 256'0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001 -Parameter \INIT_B = 256'0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110 -Parameter \INIT_C = 256'0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111 -Parameter \INIT_D = 256'0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101 -Parameter \INIT_E = 256'0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001 -Parameter \INIT_F = 256'0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010 -Generating RTLIL representation for module `$paramod$78737ad525e8dae59dec7a1c607a6bc5306a8b74\$__ICE40_RAM4K'. - -2.9.63. Continuing TECHMAP pass. -Mapping memtest.mem.8.0.0 using $paramod$78737ad525e8dae59dec7a1c607a6bc5306a8b74\$__ICE40_RAM4K. - -2.9.64. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 3 -Parameter \WRITE_MODE = 3 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101 -Parameter \INIT_1 = 256'0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101 -Parameter \INIT_2 = 256'1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100 -Parameter \INIT_3 = 256'0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111 -Parameter \INIT_4 = 256'1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111 -Parameter \INIT_5 = 256'0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011 -Parameter \INIT_6 = 256'0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001 -Parameter \INIT_7 = 256'1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001 -Parameter \INIT_8 = 256'0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111 -Parameter \INIT_9 = 256'1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001 -Parameter \INIT_A = 256'0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111 -Parameter \INIT_B = 256'0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111 -Parameter \INIT_C = 256'1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101 -Parameter \INIT_D = 256'0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011 -Parameter \INIT_E = 256'0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010 -Parameter \INIT_F = 256'0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100 -Generating RTLIL representation for module `$paramod$37a66f0e87cf155d17acab6ca4babfbf97b805ea\$__ICE40_RAM4K'. - -2.9.65. Continuing TECHMAP pass. -Mapping memtest.mem.7.0.0 using $paramod$37a66f0e87cf155d17acab6ca4babfbf97b805ea\$__ICE40_RAM4K. +Mapping memtest.mem.3.0.0 using $paramod$d46a79f9db485f5e0ae25ea95384f4a64dd34959\$__ICE40_RAM4K. No more expansions possible. 2.10. Executing OPT pass (performing simple optimizations). 2.10.1. Executing OPT_EXPR pass (perform const folding). -Setting undriven signal in memtest to undef: $techmap237\mem.7.0.0.MASK -Setting undriven signal in memtest to undef: $techmap236\mem.8.0.0.MASK -Setting undriven signal in memtest to undef: $techmap235\mem.9.0.0.MASK -Setting undriven signal in memtest to undef: $techmap234\mem.10.0.0.MASK -Setting undriven signal in memtest to undef: $techmap233\mem.11.0.0.MASK -Setting undriven signal in memtest to undef: $techmap232\mem.12.0.0.MASK -Setting undriven signal in memtest to undef: $techmap231\mem.13.0.0.MASK -Setting undriven signal in memtest to undef: $techmap230\mem.14.0.0.MASK -Setting undriven signal in memtest to undef: $techmap229\mem.15.0.0.MASK -Setting undriven signal in memtest to undef: $techmap228\mem.6.0.0.MASK -Setting undriven signal in memtest to undef: $techmap227\mem.5.0.0.MASK -Setting undriven signal in memtest to undef: $techmap226\mem.4.0.0.MASK -Setting undriven signal in memtest to undef: $techmap225\mem.3.0.0.MASK -Setting undriven signal in memtest to undef: $techmap224\mem.2.0.0.MASK -Setting undriven signal in memtest to undef: $techmap223\mem.1.0.0.MASK -Setting undriven signal in memtest to undef: $techmap222\mem.0.0.0.MASK -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [15] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [8] +Setting undriven signal in memtest to undef: $techmap189\mem.3.0.0.MASK +Setting undriven signal in memtest to undef: $techmap188\mem.2.0.0.MASK +Setting undriven signal in memtest to undef: $techmap187\mem.1.0.0.MASK +Setting undriven signal in memtest to undef: $techmap186\mem.0.0.0.MASK Setting undriven signal in memtest to undef: \pmod_1 -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [14:12] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [10] -Setting undriven signal in memtest to undef: \led_b -Setting undriven signal in memtest to undef: \led_g Setting undriven signal in memtest to undef: \pmod_2 Setting undriven signal in memtest to undef: \pmod_4 -Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [1] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [4] -Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [0] -Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [7:4] -Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [0] -Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [2:1] -Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [5] -Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [10:8] -Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [12] -Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [15:13] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [7] -Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [4] -Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [9] -Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [10:5] -Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [6] -Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [13] +Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [15] +Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [7] +Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [11] +Setting undriven signal in memtest to undef: \led_b +Setting undriven signal in memtest to undef: \led_g +Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [3] +Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [5] +Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [7] +Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [9] +Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [11] +Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [13] +Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [15] +Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [9] +Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [1] +Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [3] +Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [5] +Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [7] +Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [9] +Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [11] +Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [13] +Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [15] +Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [5] +Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [1] +Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [3] +Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [5] +Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [7] +Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [9] +Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [11] +Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [13] +Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [15] Setting undriven signal in memtest to undef: \pmod_3 -Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [2:0] -Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [10:4] -Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [15:12] -Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [2] -Replacing $reduce_or cell `$techmap$techmap195\mem.2.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$194' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap195\mem.2.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$194_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap193\mem.1.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$192' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap193\mem.1.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$192_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap191\mem.0.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap191\mem.0.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap199\mem.4.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$198' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap199\mem.4.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$198_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap197\mem.3.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$196' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap197\mem.3.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$196_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap201\mem.5.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$200' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap201\mem.5.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$200_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap203\mem.6.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$202' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap203\mem.6.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$202_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap205\mem.15.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$204' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap205\mem.15.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$204_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap207\mem.14.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$206' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap207\mem.14.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$206_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap209\mem.13.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$208' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap209\mem.13.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$208_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap211\mem.12.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$210' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap211\mem.12.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$210_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap213\mem.11.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$212' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap213\mem.11.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$212_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap215\mem.10.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$214' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap215\mem.10.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$214_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap217\mem.9.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$216' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap217\mem.9.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$216_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap219\mem.8.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$218' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap219\mem.8.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$218_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap221\mem.7.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$220' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap221\mem.7.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$220_Y = 1'0'. +Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [1] +Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [3] +Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [1] +Replacing $reduce_or cell `$techmap$techmap185\mem.3.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$184' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap185\mem.3.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$184_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap183\mem.2.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$182' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap183\mem.2.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$182_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap179\mem.0.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$178' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap179\mem.0.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$178_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap181\mem.1.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$180' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap181\mem.1.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$180_Y = 1'0'. 2.10.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -1310,8 +814,8 @@ Removed a total of 0 cells. 2.10.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. - removed 384 unused temporary wires. -Removed 33 unused cells and 483 unused wires. + removed 96 unused temporary wires. +Removed 33 unused cells and 195 unused wires. 2.10.5. Finished fast OPT passes. @@ -1343,7 +847,7 @@ Removed a total of 0 cells. 2.12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. -Removed 33 unused cells and 483 unused wires. +Removed 33 unused cells and 195 unused wires. 2.12.8. Executing OPT_EXPR pass (perform const folding). @@ -1423,11 +927,11 @@ Mapping memtest.$xor$memtest.v:62$129 ($xor) with simplemap. Mapping memtest.$xor$memtest.v:63$130 ($xor) with simplemap. Mapping memtest.$procdff$133 ($dff) with simplemap. Mapping memtest.$procdff$134 ($dff) with simplemap. -Mapping memtest.$auto$alumacc.cc:474:replace_alu$167.A_conv ($pos) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239 ($xor) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238 ($mux) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$not$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$237 ($not) with simplemap. Mapping memtest.$auto$alumacc.cc:474:replace_alu$167.B_conv ($pos) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$not$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$285 ($not) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286 ($mux) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287 ($xor) with simplemap. +Mapping memtest.$auto$alumacc.cc:474:replace_alu$167.A_conv ($pos) with simplemap. No more expansions possible. 2.14. Executing ICE40_OPT pass (performing simple optimizations). @@ -1435,27 +939,27 @@ No more expansions possible. 2.14.1. Running ICE40 specific optimizations. 2.14.2. Executing OPT_EXPR pass (perform const folding). -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$348' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [6] = \memadr [6]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$351' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [9] = \memadr [9]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$362' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [9] = \memadr [9]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$347' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [5] = \memadr [5]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$358' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [5] = \memadr [5]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$349' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [7] = \memadr [7]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$360' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [7] = \memadr [7]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$350' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [8] = \memadr [8]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$361' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [8] = \memadr [8]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$352' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [10] = \memadr [10]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$363' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [10] = \memadr [10]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$359' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [6] = \memadr [6]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$346' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [4] = \memadr [4]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$357' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [4] = \memadr [4]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$345' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [3] = \memadr [3]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$356' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [3] = \memadr [3]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$344' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [2] = \memadr [2]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$355' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [2] = \memadr [2]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$343' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [1] = \memadr [1]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$354' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [1] = \memadr [1]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$342' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [0] = \memadr [0]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$294' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [0] = \memadr [0]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$295' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [1] = \memadr [1]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$284' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [1] = \memadr [1]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$296' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [2] = \memadr [2]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$285' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [2] = \memadr [2]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$297' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [3] = \memadr [3]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$286' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [3] = \memadr [3]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$298' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [4] = \memadr [4]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$287' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [4] = \memadr [4]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$299' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [5] = \memadr [5]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$288' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [5] = \memadr [5]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$300' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [6] = \memadr [6]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$289' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [6] = \memadr [6]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$301' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [7] = \memadr [7]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$290' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [7] = \memadr [7]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$302' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [8] = \memadr [8]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$291' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [8] = \memadr [8]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$303' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [9] = \memadr [9]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$292' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [9] = \memadr [9]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$304' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [10] = \memadr [10]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$293' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [10] = \memadr [10]'. 2.14.3. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -1465,21 +969,27 @@ Removed a total of 0 cells. 2.14.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$331'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$336'. - removing unused `$_XOR_' cell `$auto$simplemap.cc:85:simplemap_bitop$353'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$338'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$341'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$334'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$340'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$337'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$339'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$335'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$333'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$332'. + removing unused `$_XOR_' cell `$auto$simplemap.cc:85:simplemap_bitop$283'. + removing unused `\SB_LUT4' cell `$auto$alumacc.cc:474:replace_alu$167.slice[10].adder'. removing unused `\SB_CARRY' cell `$auto$alumacc.cc:474:replace_alu$167.slice[10].carry'. + removing unused `\SB_LUT4' cell `$auto$alumacc.cc:474:replace_alu$167.slice[9].adder'. + removing unused `\SB_CARRY' cell `$auto$alumacc.cc:474:replace_alu$167.slice[9].carry'. + removing unused `\SB_CARRY' cell `$auto$alumacc.cc:474:replace_alu$167.slice[8].carry'. + removing unused `$_DFF_P_' cell `$auto$simplemap.cc:420:simplemap_dff$281'. + removing unused `$_DFF_P_' cell `$auto$simplemap.cc:420:simplemap_dff$282'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$305'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$306'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$307'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$308'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$309'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$310'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$311'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$312'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$313'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$314'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$315'. removed 17 unused temporary wires. -Removed 46 unused cells and 500 unused wires. +Removed 52 unused cells and 212 unused wires. 2.14.6. Rerunning OPT passes. (Removed registers in this run.) @@ -1488,19 +998,21 @@ Optimized away SB_CARRY cell memtest.$auto$alumacc.cc:474:replace_alu$167.slice[ Mapping SB_LUT4 cell memtest.$auto$alumacc.cc:474:replace_alu$167.slice[1].adder back to logic. 2.14.8. Executing OPT_EXPR pass (perform const folding). -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$365' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [0] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$366' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [1] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$374' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [0] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$367' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [2] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$368' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [3] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$375' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [1] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$379' (01?) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$378 [0] = \memadr [1]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$370' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [5] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$369' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [4] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$376' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [2] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$372' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [7] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$371' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [6] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$377' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [3] = 1'0'. +Setting undriven signal in memtest to undef: \memadr [10] +Setting undriven signal in memtest to undef: \memadr [9] +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$320' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [3] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$319' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [2] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$327' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$325 [1] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$318' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [1] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$317' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [0] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$326' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$325 [0] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$331' (01?) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$330 [0] = \memadr [1]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$324' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [7] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$323' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [6] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$329' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$325 [3] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$322' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [5] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$321' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [4] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$328' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$325 [2] = 1'1'. 2.14.9. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -1511,7 +1023,7 @@ Removed a total of 0 cells. 2.14.11. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. removed 3 unused temporary wires. -Removed 46 unused cells and 503 unused wires. +Removed 52 unused cells and 215 unused wires. 2.14.12. Rerunning OPT passes. (Removed registers in this run.) @@ -1527,7 +1039,7 @@ Removed a total of 0 cells. 2.14.17. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. -Removed 46 unused cells and 503 unused wires. +Removed 52 unused cells and 215 unused wires. 2.14.18. Finished OPT passes. (There is nothing left to do.) @@ -1574,18 +1086,16 @@ Generating RTLIL representation for module `\$__DFFE_NP1'. Generating RTLIL representation for module `\$__DFFE_PP0'. Generating RTLIL representation for module `\$__DFFE_PP1'. Successfully finished Verilog frontend. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$323 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$330 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$329 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$325 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$328 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$321 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$327 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$324 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$326 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$322 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$320 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$319 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$271 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$272 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$273 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$274 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$275 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$276 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$277 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$278 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$279 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$280 using \$_DFF_P_. No more expansions possible. 2.18. Executing OPT_EXPR pass (perform const folding). @@ -1612,8 +1122,8 @@ Removed a total of 0 cells. 2.22.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. - removed 36 unused temporary wires. -Removed 46 unused cells and 539 unused wires. + removed 30 unused temporary wires. +Removed 52 unused cells and 245 unused wires. 2.22.6. Finished OPT passes. (There is nothing left to do.) @@ -1689,51 +1199,51 @@ Successfully finished Verilog frontend. 2.25.2. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. Parameter \WIDTH = 4 -Parameter \LUT = 16'1001011001101001 -Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'1001011001101001'. - -2.25.3. Continuing TECHMAP pass. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$419 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. - -2.25.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. -Parameter \WIDTH = 4 Parameter \LUT = 16'0110100110010110 Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'0110100110010110'. -2.25.5. Continuing TECHMAP pass. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$414 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +2.25.3. Continuing TECHMAP pass. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$364 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. -2.25.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +2.25.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. Parameter \WIDTH = 3 Parameter \LUT = 8'10010110 Generating RTLIL representation for module `$paramod\$lut\WIDTH=3\LUT=8'10010110'. +2.25.5. Continuing TECHMAP pass. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$363 using $paramod\$lut\WIDTH=3\LUT=8'10010110. + +2.25.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +Parameter \WIDTH = 4 +Parameter \LUT = 16'1001011001101001 +Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'1001011001101001'. + 2.25.7. Continuing TECHMAP pass. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$413 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$412 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$411 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$416 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$417 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$362 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$361 using $paramod\$lut\WIDTH=3\LUT=8'10010110. 2.25.8. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. -Parameter \WIDTH = 3 -Parameter \LUT = 8'01101001 -Generating RTLIL representation for module `$paramod\$lut\WIDTH=3\LUT=8'01101001'. - -2.25.9. Continuing TECHMAP pass. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$415 using $paramod\$lut\WIDTH=3\LUT=8'01101001. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$421 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$420 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$418 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$410 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. - -2.25.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. Parameter \WIDTH = 2 Parameter \LUT = 4'0110 Generating RTLIL representation for module `$paramod\$lut\WIDTH=2\LUT=4'0110'. +2.25.9. Continuing TECHMAP pass. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$359 using $paramod\$lut\WIDTH=2\LUT=4'0110. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$371 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$370 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$366 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$367 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. + +2.25.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +Parameter \WIDTH = 3 +Parameter \LUT = 8'01101001 +Generating RTLIL representation for module `$paramod\$lut\WIDTH=3\LUT=8'01101001'. + 2.25.11. Continuing TECHMAP pass. -Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$409 using $paramod\$lut\WIDTH=2\LUT=4'0110. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$365 using $paramod\$lut\WIDTH=3\LUT=8'01101001. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$369 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$368 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$360 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. No more expansions possible. Removed 0 unused cells and 26 unused wires. @@ -1830,367 +1340,107 @@ Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'01101 Parameter \LUT_INIT = 16'0110100110010110 Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'0110100110010110'. -2.26.24. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_LUT4'. -Parameter \LUT_INIT = 16'0110100110010110 -Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'0110100110010110'. +2.26.24. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 1 +Parameter \READ_MODE = 1 +Parameter \INIT_0 = 256'1101110000010001001101000000010110101111010110110100001001111100010111001110010010011010000100111001010101100100001110001100101110111000100001000000101101011110000100101101100111101000111110000011001010001010010000101100011100001100110010101010101101011111 +Parameter \INIT_1 = 256'1011111110001010000111100110000000001000010010001100111100001110001001110100110111111011111010101100001001100000011100011100000001110000111111111011111000000111010110001111111100010001110000111000011000100001111111011000011110001011011100110100010101101001 +Parameter \INIT_2 = 256'0111000001110101101001111010011110011100110011001001011011101110110000100101110000011000100010000001100110110111100000111001100101011101101101010011101110110110100101001111011000100110001101010010010011111101111110011111101000000001010111111100001111111000 +Parameter \INIT_3 = 256'1111001110000110101011010100110101110000000000010011101101000110100110001001110111001110110000110011000010010110110011110101111101101101001000011010110111111110000010001100101000011110110101000010101010101100010111000010110001000111111010111000110001100000 +Parameter \INIT_4 = 256'0011100110110110011001110000001010111011111110010001010011111101101111101001100101110011111100111011011100101000110011010111110010110001100100001001101010101100000010000100010000100011011111100000000110111110111001001110110010000101001011110101111001101000 +Parameter \INIT_5 = 256'0110010100101111100001111110100011111001000101111010101010011001111001001001101010011110110111001100000110011101111000001111111011011001100011101110011011011000000011111010101100111010000010101100111010010011000101100100110010011110011000001101100100001110 +Parameter \INIT_6 = 256'0101100011100010000001101001100000110011111011010101001000101100100101010010001010110010111011010010101101110001110101101111110000101000100101011000100011110111010111011110011001011111101101111011001011111001010000011111010110010100011000001000010010110101 +Parameter \INIT_7 = 256'0110001001101111100101011100001100001000110010101101011100011110001100000110011111111011011011001001010010111101111110011110010011010111111110001111101001110111111101000111011101000111010011010010001001101001010010101011000010101001011010100001011011000111 +Parameter \INIT_8 = 256'0010000101011101001000101110110101111101000000110100111111110000011100110100101011001101001100000101110100101111100001110110010010010100101110101001011100001100101100110110010011001100001101110011100101110011110110000010001110001001101011101010101110100101 +Parameter \INIT_9 = 256'1010100110101111101110010011111101001001110101110100001101100101111101010110111011000001001001100000100111000101111100011101001111011010000111011110110001111010000011101100101101101011110100000110110111101011000011111011011111101000100110001001011111001011 +Parameter \INIT_A = 256'0111101110010010100001001111010110000001100000001010101101010111111110010011110001000001001101110010111010011011110110010100000010110011010010010110100111100110101111011011111011100111100001010110100100110111001110000011001001100101000100101101000110010110 +Parameter \INIT_B = 256'0000111011010101011010001011010110010001100010000111100000101100011001100100010110011000000001110000110111100001100111001000101011100011101101101100101000001101100101000010011110000011000011110000001011100100110100101001001000000100010101010100001000001110 +Parameter \INIT_C = 256'1010111111100110110011010100010011100110101100100001010010101101101110111000000000101010110101001100011010001100010110010000111110111000010010110011110011110100001110000110110001001100001111000110011000010011001000010110110010011001101100100110101111010101 +Parameter \INIT_D = 256'0011001100101011011100110100010010100111001011000110010000110000101100000101111001100111110000101001010111101011110000100001000010000110000100001010101110111111101001111110110000001000110011100101010100010011000001000011101110111000010110011010011010111111 +Parameter \INIT_E = 256'0100001000110100000000011001000011111000111011001101100010100111110000111011000101010110111110011010011001000100101010001010010001010011011100011000100111011000100110000000010111000101100010000110001000010110111000001011001010111111011111101001110001110011 +Parameter \INIT_F = 256'0000101011000111111101011000100001111110101000111110000110010101010110011100011111000110010011011100101111010000110011000011010010110000110110100100110011001010011111011001101010001001000010110110010011011011100101100101111110010101111111001011001011010100 +Generating RTLIL representation for module `$paramod$fa9c4739b0bad1b827e8ef52d223d84ff6a9572c\SB_RAM40_4K'. -2.26.25. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_LUT4'. -Parameter \LUT_INIT = 16'0110100110010110 -Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'0110100110010110'. +2.26.25. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 1 +Parameter \READ_MODE = 1 +Parameter \INIT_0 = 256'1100001001001000011000110111111010111101110001100000010100101100101101011000100101110111101011011100100000001010001110111001110011101010001001000100100110110111100001000010011100110001100000011001001000001001000010011011000000001000100111001101001011111001 +Parameter \INIT_1 = 256'0000000100101011100000010111111101010011111100001110111010111001101010000011101110110111101110100101100000111010100111001011111101110101100011111011010000010100111101101100011000010111110110001100110111001111000110000000001010001101001000001001110111111100 +Parameter \INIT_2 = 256'1001110001011011011011010111100010011001101001100101010100111100011111110111001101100010010000100000111101010001111111011011011100111001111111010111010111000111100011001110110111000010010111110110110001000011010110011111101000110001001000110100011111101100 +Parameter \INIT_3 = 256'0001100101000011010111101110010100101001000010100100111110010101010110111011010011111011001100000101010110101001010000111110010000011011011100000011010011101000001101100101111000000101111110101011010110001010100110001000011101010100010101100011001111011000 +Parameter \INIT_4 = 256'1011110110001001101111101010101010000101101010100100111010111000110000101000101000100110010111100010101111111101100001110110111101000101010111101111100111111100000101000000001001110010111110010111010001000110000110010110000010001000111001010011111011010100 +Parameter \INIT_5 = 256'1001110110111101111001100000000111100111101100000011000011111100000111001001110100001011001000111111110111011001100101001100111100010111110000111111101110000000111011100101101110000101101011111100011011101110110111101111011001101100011101100011011101011001 +Parameter \INIT_6 = 256'0000011110110101011111011011101000101010111001000010011011000010110101100011111000010111010010101011100111010101101001110101110011111111011111111101000100111110000000000111011111100001010001110101001100110110000100010000100111110000101100101101000110111010 +Parameter \INIT_7 = 256'0111100111100100110111101001010100110000000010101001110100110101001010100001000010010000100101100111000010100101111110000110001110011001101111000001100011111110001100100000110100100011110110001001001111011101010010111001011110110100100110000001001101100110 +Parameter \INIT_8 = 256'0011110011001001010000010000010001001110011101110101111100110101001101110001001101001001111110001010001001000011001101101100100100111000111010110111110001110001000011000000001101111100001001111101101011100010010000101100100000100100101110000111000000100011 +Parameter \INIT_9 = 256'0111110001010001101111110100111001010111111010010111010010100001000011000001000011100100101001000111001000100010001111111111101111011000110001011110000010010000000000000010001101011111011010001000011111100111101010111101000001010001001110011101000010010011 +Parameter \INIT_A = 256'1001100011110100001110111111100011011110001110110101100100101000011001011100110010011001110100110111110101111110110110100110001101100100010100111110001010100111111100100011110011111010110001111100110001011111001111010100101010111011001010001011100000101101 +Parameter \INIT_B = 256'1001100011100000011111110101100110000000001111101011101100010000111010000011110111010011001111100101001001010001010111001010100001001101101010100010001100011001001011100010000011100010100101000010011110000101100010000001100110111010110000011011111010111111 +Parameter \INIT_C = 256'0100100101100111001101000110000110011011100001111010000000001101010011010000101111000011010111011011010101111001011001111101000010010011101110101010110110010110100111111100011101010011111010001000001100001110011101011100010111010011110001101001001110111110 +Parameter \INIT_D = 256'1000111000110011001001111001111111110110010000110101000101011000110010101111110001111010101111010111100000011010000101101000101000010101110010111011001000101011101101100110011111010011111001011111011010011100000101011101100100110001001000001111100010110101 +Parameter \INIT_E = 256'1001011101110011100001011100011110000110110110100011011100110100110010001111101010101011010010101010011000110001010101110011000111000100010111011110100100001100110000011001010001000001110011100101100101100001101000010001111101001000111100000010110111001010 +Parameter \INIT_F = 256'1100100101011111001010000010111000001010010010100010101100100111110011111000001111100111111000100000111111111101010110001001001110111001000100111110001001101110011101010101001011011001110111111010111000011011100011110001000001111101001111001000110111110011 +Generating RTLIL representation for module `$paramod$c0677c5f874d489c6aefa96e8c2fc89bec062c38\SB_RAM40_4K'. 2.26.26. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111 -Parameter \INIT_1 = 256'0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001 -Parameter \INIT_2 = 256'0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000 -Parameter \INIT_3 = 256'0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000 -Parameter \INIT_4 = 256'0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000 -Parameter \INIT_5 = 256'0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010 -Parameter \INIT_6 = 256'0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001 -Parameter \INIT_7 = 256'0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011 -Parameter \INIT_8 = 256'1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001 -Parameter \INIT_9 = 256'1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011 -Parameter \INIT_A = 256'1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010 -Parameter \INIT_B = 256'1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010 -Parameter \INIT_C = 256'1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101 -Parameter \INIT_D = 256'0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111 -Parameter \INIT_E = 256'1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111 -Parameter \INIT_F = 256'1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100 -Generating RTLIL representation for module `$paramod$aec89bda2bb51457738348c30887ba494f48a61a\SB_RAM40_4K'. +Parameter \WRITE_MODE = 1 +Parameter \READ_MODE = 1 +Parameter \INIT_0 = 256'0001010101010000111110010010001111000101101001000011000011000101110100111110000110100100010001011111111111101011000000010101110001000011101011011010110011000111110100111001010011010101101101011000110111010010001011110001111101001101011101010111011111111000 +Parameter \INIT_1 = 256'1110010001100111110101010101000010010100000100101100100011100110000001101001000100001101110100100100111110100000101100111111010011000011110111100111110011111000100100000101001111100011110101010000001010000000001010100001110011100101011111010111110111011101 +Parameter \INIT_2 = 256'0001111111100101111011000010010010001001000111111101001011010000010100001001001110110011000000001001001000111010011100110011010010010101001101101100010110100011101111111101000100111101010101011011011001000000100101011111101001100000000100111001111101100010 +Parameter \INIT_3 = 256'0010111100110110100011101101100100100010101000001101111000000001000000101000110110010111001100010101000000010111011011011001100010101011001111001100101110101001111011001000000010100101110000000110111111101010111011111110001010110110101100101111111111001111 +Parameter \INIT_4 = 256'0111000101010110111001101001111000111111110000000011110100110001000111110111100011010111111101000111000111000001111011011000011111111001001101110011110000001011110101111011000101011100001101100111000101010101001011101101101101000100101110011111111100110100 +Parameter \INIT_5 = 256'0101010010011101011011010101000011111001010010110101010001010010111100100010110001101100110000011001111001010010111011111011111011100000010101101100100011100001010101100110000101000110110111010000110110111110101000100101010010000111111010000010011110111100 +Parameter \INIT_6 = 256'0100100000010100111111010011001010001001011110000110010100111110110001011111110011111011000001100000000011001110010101000101111111010010010101111010010011011011110011011001001110001101000010000010011111000010111010011000010111100010110001111110010101010110 +Parameter \INIT_7 = 256'1111011110010001000101011010010100010011010101110100011111101101100101110110011000111000101100011100010001100110100110000101000100111110011011000111011101010101101101010101001100110100000101000111000101111010000111100010110010000010000011100001110011111110 +Parameter \INIT_8 = 256'0011000001001111001001110001101011101111000011000111101100100000000101111100011000100110101111001101101101001011110100110111000111110110110010111001111000110101001100100000110100010111001111000010001110110111100110101011000000000100110100011110010100100011 +Parameter \INIT_9 = 256'1011000011100011110101110100010111101010110101010111011011000101110001000100100010010101111001000100010101011000111111101111111000101011001000111101110110011111111001010100111101010101011100100110111101001001101011011011111011111000100011110001111011010001 +Parameter \INIT_A = 256'0010110111111100000001000001110100010011011100101000000010100001101000110010100000011111100010000110011010101001100000100110100110011110010010010011010100101001100010111001010000100111001000110111110111001100000111001101010000001101000001110000101011000101 +Parameter \INIT_B = 256'0010101010001000110110110100000011000100100100111010111100110001100111001010111000001111000110011101110000101100010110001011111110110101100111111101000000000100010011011101100001000110111111100011110101100100010010011110001101110101001111011000010011110110 +Parameter \INIT_C = 256'0110101011001010100011101000110011111010011100110011001010001100100110101011101011001001110110110011010100001000101001011010101100111111001000011000100100011101110000000110001010010001011100100101101000100100010111001111100000110111011111100010101010010011 +Parameter \INIT_D = 256'0000100011101110101011001000000110101110111111011010100001010000100110011011101011110100100011101011100111000011101110010000010110011110101010000010000011000000111100010100001100001010000110110110111011000011100101010101001011010110011110000001111101100001 +Parameter \INIT_E = 256'1000011111001010101110010110011111100010001100011111001111001100000000010101011100100011101110111111011011101101100001111011000010011010011110011110010100000001010101011101011010001000101100111110111000000000010100100100000000001110110111011011010000110101 +Parameter \INIT_F = 256'1111101111111000100101010101100001010100110101111000111011111101110011001100110111100110001100010001111101111001011101000100000010011010011010000100101101110010000110111101010100101001001000000111101110000011010101101000100010101100010110111010100000101010 +Generating RTLIL representation for module `$paramod$3725bf38e61942d5903b6c04e36a9c50c471da56\SB_RAM40_4K'. 2.26.27. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001 -Parameter \INIT_1 = 256'0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010 -Parameter \INIT_2 = 256'1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111 -Parameter \INIT_3 = 256'1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010 -Parameter \INIT_4 = 256'1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110 -Parameter \INIT_5 = 256'0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100 -Parameter \INIT_6 = 256'0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111 -Parameter \INIT_7 = 256'1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100 -Parameter \INIT_8 = 256'0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110 -Parameter \INIT_9 = 256'1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000 -Parameter \INIT_A = 256'0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001 -Parameter \INIT_B = 256'1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000 -Parameter \INIT_C = 256'1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101 -Parameter \INIT_D = 256'1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011 -Parameter \INIT_E = 256'1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011 -Parameter \INIT_F = 256'1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101 -Generating RTLIL representation for module `$paramod$36cd72d0a2aa01f65f2e032aecaf806435b24292\SB_RAM40_4K'. - -2.26.28. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1100010111000001000101101010000100100101001111011111010010000000000100001111111110000001100010001011001110010011011000001100110101111100110010110000101111001000111111000001001100100001110000010000011110111101111000110011001100001111100010011001100101111011 -Parameter \INIT_1 = 256'0110100110111000100100011011010100010001110000000111111000101100011110010001111010101011010111010100101101110111110111000001011100000100000100111000111110100000000110000000010001100100000001110110010001100010111000101100101011000101011101010001001100110001 -Parameter \INIT_2 = 256'1010011101100111000001111100110010110110110110011011000000001110011111000100100010111000101101111011110000101110010100001111001101111101110001010000100110110101000011111011011110111111111000010100010101101010100111011010000100111100100110001101111110000011 -Parameter \INIT_3 = 256'0010011101111011111101111001101010001100100000100101011100000010110101000101011000000001110000110110010011011000101010110001100110111110001111111110011001100011111010110101110010100101010000010101011110111011010101111011101101001101111111100001011110001111 -Parameter \INIT_4 = 256'0010010011011001001010011000011010010111100011111111101100000001101111110000101101000101101010110011100011001001000000111010110110010110001011011000001111100100010101010010111101101111010101001001010011001101000100110011111011110101001110001010101101100011 -Parameter \INIT_5 = 256'1100100111001000001010111010110100011010110011010001100100010100011110000100111000110011111011000111111110111010100001110001111110011000010001000010101001010100000111010010101011101101101011101000111101101001110000000000111000011001001010110100000101000011 -Parameter \INIT_6 = 256'0110101010100000010010111101110101110010001100010101010101000101000010011010010111111110001001110001000010011100000110011110010000001100101111101111100101111100111000110111110111000111101110010100000111000111100111100000010110001000001101101101010110101101 -Parameter \INIT_7 = 256'1111100111100111100001011010100110010100110000111101110100001011001001010110011111010010001010001100110110000000110101100101010000101011100110101100110100011111000100011101010111000101111100000101010011010101110000110010111010001100100010100110101101101100 -Parameter \INIT_8 = 256'1011100010110000101011010101001110010011111110110111111000100011111100010011111100111101111110100111111000101011111000000111111111111101101101101001011111110110101011001110111011111101111100111100110000101011011000100110011010000001110010001111100111010101 -Parameter \INIT_9 = 256'1101010011010100110010010111001111110110110011101101010110111110111011011000100001110001110011010001000110000001100011110010101001000110111100111000101110111101000111011111100111110101011110010011001100100011001111110000100100111110101101001101001100101110 -Parameter \INIT_A = 256'1100001111011101101001010011110011101000011000111000100011100000110011001100111110101111011100110110110111111110111100001110101001011111000001101001000101100101100101101001111111101001111100110100111101100001110001111111010010010011001110011100011000101010 -Parameter \INIT_B = 256'1110111011000110111101101000011110011001010000001011011101010011000001111111000000011011110001111001001100011000010101101001010001111001001101010101110010101000010000110011010111100101001101100010011110010101100110101011010101100101111011011111010111101000 -Parameter \INIT_C = 256'0111111010111110010010110111111011101110101110100000000010001010010001101111001010101010000001011001010100100001011001011110000110001111100001110110111011000101001101001100100010001100110111011001111001001010000100110100110000111001100110110001111000100010 -Parameter \INIT_D = 256'0010001011010000110001111111110001101011110100100001001011100100001110101110000101101101111101000010101000001001001011101110000110110111111001100111100010010000110111000101010101001010011000101111101111001110110010011010100100111001001111101010111111010111 -Parameter \INIT_E = 256'1000100100011011010000101011110111101000101101101110000000011011010111001110110100110000101000111111100111100110101010010100101100100010111111100001110111111101011101011110110100010010010010000000101101001110000110001100101000100011011101100000100111001100 -Parameter \INIT_F = 256'1100001011001011010111011100000101111101010001001111111100111110011000111111000011001101001111100010101100010011111001010001000010100110010000101010111010110111001000100010111110010110011001010101101000001011001111010000001000001011101101000110111011011000 -Generating RTLIL representation for module `$paramod$4634a39a6cd62b13e97c191bc48a5bf27335db8d\SB_RAM40_4K'. - -2.26.29. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011 -Parameter \INIT_1 = 256'1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011 -Parameter \INIT_2 = 256'1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101 -Parameter \INIT_3 = 256'0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111 -Parameter \INIT_4 = 256'1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111 -Parameter \INIT_5 = 256'0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110 -Parameter \INIT_6 = 256'0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110 -Parameter \INIT_7 = 256'0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101 -Parameter \INIT_8 = 256'0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010 -Parameter \INIT_9 = 256'1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101 -Parameter \INIT_A = 256'0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000 -Parameter \INIT_B = 256'1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000 -Parameter \INIT_C = 256'0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010 -Parameter \INIT_D = 256'1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001 -Parameter \INIT_E = 256'0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011 -Parameter \INIT_F = 256'1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010 -Generating RTLIL representation for module `$paramod$7340fe4b074e1d328bd9dde30b4a8f28ee267b25\SB_RAM40_4K'. - -2.26.30. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100 -Parameter \INIT_1 = 256'1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110 -Parameter \INIT_2 = 256'0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010 -Parameter \INIT_3 = 256'1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100 -Parameter \INIT_4 = 256'1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000 -Parameter \INIT_5 = 256'0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000 -Parameter \INIT_6 = 256'1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001 -Parameter \INIT_7 = 256'1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101 -Parameter \INIT_8 = 256'0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101 -Parameter \INIT_9 = 256'0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110 -Parameter \INIT_A = 256'0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000 -Parameter \INIT_B = 256'1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001 -Parameter \INIT_C = 256'1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101 -Parameter \INIT_D = 256'0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100 -Parameter \INIT_E = 256'0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100 -Parameter \INIT_F = 256'0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010 -Generating RTLIL representation for module `$paramod$0ce62515d3067330f88e04b97293dc0659fa9113\SB_RAM40_4K'. - -2.26.31. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011 -Parameter \INIT_1 = 256'1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011 -Parameter \INIT_2 = 256'0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110 -Parameter \INIT_3 = 256'0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101 -Parameter \INIT_4 = 256'0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110 -Parameter \INIT_5 = 256'0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111 -Parameter \INIT_6 = 256'0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000 -Parameter \INIT_7 = 256'1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000 -Parameter \INIT_8 = 256'0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100 -Parameter \INIT_9 = 256'1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110 -Parameter \INIT_A = 256'1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010 -Parameter \INIT_B = 256'0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001 -Parameter \INIT_C = 256'0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110 -Parameter \INIT_D = 256'1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011 -Parameter \INIT_E = 256'1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101 -Parameter \INIT_F = 256'0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111 -Generating RTLIL representation for module `$paramod$60b3dfc4f309aea3ada8285e1d40951195a0353e\SB_RAM40_4K'. - -2.26.32. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001 -Parameter \INIT_1 = 256'0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010 -Parameter \INIT_2 = 256'0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111 -Parameter \INIT_3 = 256'0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000 -Parameter \INIT_4 = 256'1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101 -Parameter \INIT_5 = 256'1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100 -Parameter \INIT_6 = 256'0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000 -Parameter \INIT_7 = 256'1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110 -Parameter \INIT_8 = 256'1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100 -Parameter \INIT_9 = 256'1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100 -Parameter \INIT_A = 256'0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000 -Parameter \INIT_B = 256'0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110 -Parameter \INIT_C = 256'0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100 -Parameter \INIT_D = 256'0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011 -Parameter \INIT_E = 256'1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101 -Parameter \INIT_F = 256'1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101 -Generating RTLIL representation for module `$paramod$c74655a14c7cc6adeb7816abc7bdb3c44a7ba15e\SB_RAM40_4K'. - -2.26.33. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011 -Parameter \INIT_1 = 256'1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001 -Parameter \INIT_2 = 256'1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110 -Parameter \INIT_3 = 256'1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000 -Parameter \INIT_4 = 256'1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001 -Parameter \INIT_5 = 256'1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111 -Parameter \INIT_6 = 256'1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101 -Parameter \INIT_7 = 256'1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011 -Parameter \INIT_8 = 256'0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100 -Parameter \INIT_9 = 256'0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110 -Parameter \INIT_A = 256'1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010 -Parameter \INIT_B = 256'0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010 -Parameter \INIT_C = 256'1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101 -Parameter \INIT_D = 256'1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010 -Parameter \INIT_E = 256'0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011 -Parameter \INIT_F = 256'1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101 -Generating RTLIL representation for module `$paramod$7c21166ea4c3b2a9d7a87502f98118a3ea2bbb02\SB_RAM40_4K'. - -2.26.34. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111 -Parameter \INIT_1 = 256'0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101 -Parameter \INIT_2 = 256'1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011 -Parameter \INIT_3 = 256'0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100 -Parameter \INIT_4 = 256'0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110 -Parameter \INIT_5 = 256'0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001 -Parameter \INIT_6 = 256'1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100 -Parameter \INIT_7 = 256'0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010 -Parameter \INIT_8 = 256'1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111 -Parameter \INIT_9 = 256'0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011 -Parameter \INIT_A = 256'0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001 -Parameter \INIT_B = 256'0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110 -Parameter \INIT_C = 256'0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111 -Parameter \INIT_D = 256'0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010 -Parameter \INIT_E = 256'1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000 -Parameter \INIT_F = 256'1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110 -Generating RTLIL representation for module `$paramod$6b1c6f63ba5f996acd858be247d742a022a819f1\SB_RAM40_4K'. - -2.26.35. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010 -Parameter \INIT_1 = 256'0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100 -Parameter \INIT_2 = 256'1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000 -Parameter \INIT_3 = 256'0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100 -Parameter \INIT_4 = 256'0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101 -Parameter \INIT_5 = 256'1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101 -Parameter \INIT_6 = 256'1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100 -Parameter \INIT_7 = 256'0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101 -Parameter \INIT_8 = 256'1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110 -Parameter \INIT_9 = 256'1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101 -Parameter \INIT_A = 256'1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001 -Parameter \INIT_B = 256'0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100 -Parameter \INIT_C = 256'1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110 -Parameter \INIT_D = 256'1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010 -Parameter \INIT_E = 256'1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101 -Parameter \INIT_F = 256'1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111 -Generating RTLIL representation for module `$paramod$cd87ac4b13c15dbaa504f663e927b7c4b0d5fa2f\SB_RAM40_4K'. - -2.26.36. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101 -Parameter \INIT_1 = 256'1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100 -Parameter \INIT_2 = 256'1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100 -Parameter \INIT_3 = 256'1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000 -Parameter \INIT_4 = 256'0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100 -Parameter \INIT_5 = 256'0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001 -Parameter \INIT_6 = 256'1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010 -Parameter \INIT_7 = 256'1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010 -Parameter \INIT_8 = 256'1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011 -Parameter \INIT_9 = 256'0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111 -Parameter \INIT_A = 256'0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101 -Parameter \INIT_B = 256'0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011 -Parameter \INIT_C = 256'0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110 -Parameter \INIT_D = 256'0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101 -Parameter \INIT_E = 256'1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110 -Parameter \INIT_F = 256'1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011 -Generating RTLIL representation for module `$paramod$6b7654ce210c81e8e0bd83db57840a1ffc63b53d\SB_RAM40_4K'. - -2.26.37. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011 -Parameter \INIT_1 = 256'0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011 -Parameter \INIT_2 = 256'1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010 -Parameter \INIT_3 = 256'0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101 -Parameter \INIT_4 = 256'0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101 -Parameter \INIT_5 = 256'0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101 -Parameter \INIT_6 = 256'1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111 -Parameter \INIT_7 = 256'0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110 -Parameter \INIT_8 = 256'1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010 -Parameter \INIT_9 = 256'1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101 -Parameter \INIT_A = 256'0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110 -Parameter \INIT_B = 256'1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011 -Parameter \INIT_C = 256'0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011 -Parameter \INIT_D = 256'1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111 -Parameter \INIT_E = 256'1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100 -Parameter \INIT_F = 256'1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111 -Generating RTLIL representation for module `$paramod$03877972951bada2593735348373f9d59049f3a8\SB_RAM40_4K'. - -2.26.38. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010 -Parameter \INIT_1 = 256'1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001 -Parameter \INIT_2 = 256'0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111 -Parameter \INIT_3 = 256'0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111 -Parameter \INIT_4 = 256'1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010 -Parameter \INIT_5 = 256'0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111 -Parameter \INIT_6 = 256'1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101 -Parameter \INIT_7 = 256'1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011 -Parameter \INIT_8 = 256'0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100 -Parameter \INIT_9 = 256'0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000 -Parameter \INIT_A = 256'0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100 -Parameter \INIT_B = 256'1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010 -Parameter \INIT_C = 256'1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011 -Parameter \INIT_D = 256'0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000 -Parameter \INIT_E = 256'0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001 -Parameter \INIT_F = 256'1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001 -Generating RTLIL representation for module `$paramod$627bd8159a11d7351b0cd002b08e7a85cfce8ce1\SB_RAM40_4K'. - -2.26.39. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101 -Parameter \INIT_1 = 256'0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101 -Parameter \INIT_2 = 256'1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100 -Parameter \INIT_3 = 256'0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111 -Parameter \INIT_4 = 256'1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111 -Parameter \INIT_5 = 256'0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011 -Parameter \INIT_6 = 256'0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001 -Parameter \INIT_7 = 256'1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001 -Parameter \INIT_8 = 256'0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111 -Parameter \INIT_9 = 256'1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001 -Parameter \INIT_A = 256'0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111 -Parameter \INIT_B = 256'0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111 -Parameter \INIT_C = 256'1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101 -Parameter \INIT_D = 256'0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011 -Parameter \INIT_E = 256'0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010 -Parameter \INIT_F = 256'0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100 -Generating RTLIL representation for module `$paramod$354b058f540860ee145f5e13e91198841fbcc4de\SB_RAM40_4K'. - -2.26.40. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100 -Parameter \INIT_1 = 256'1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001 -Parameter \INIT_2 = 256'0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110 -Parameter \INIT_3 = 256'0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011 -Parameter \INIT_4 = 256'1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000 -Parameter \INIT_5 = 256'1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000 -Parameter \INIT_6 = 256'0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010 -Parameter \INIT_7 = 256'0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010 -Parameter \INIT_8 = 256'1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111 -Parameter \INIT_9 = 256'0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001 -Parameter \INIT_A = 256'0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001 -Parameter \INIT_B = 256'0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110 -Parameter \INIT_C = 256'0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111 -Parameter \INIT_D = 256'0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101 -Parameter \INIT_E = 256'0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001 -Parameter \INIT_F = 256'0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010 -Generating RTLIL representation for module `$paramod$a83cd7853b7ce9d915e398a115a69ef26de5cd7c\SB_RAM40_4K'. - -2.26.41. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 3 -Parameter \READ_MODE = 3 -Parameter \INIT_0 = 256'0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111 -Parameter \INIT_1 = 256'0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101 -Parameter \INIT_2 = 256'1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010 -Parameter \INIT_3 = 256'0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000 -Parameter \INIT_4 = 256'0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111 -Parameter \INIT_5 = 256'1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011 -Parameter \INIT_6 = 256'0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001 -Parameter \INIT_7 = 256'0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111 -Parameter \INIT_8 = 256'0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110 -Parameter \INIT_9 = 256'1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001 -Parameter \INIT_A = 256'1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100 -Parameter \INIT_B = 256'1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011 -Parameter \INIT_C = 256'0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001 -Parameter \INIT_D = 256'1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010 -Parameter \INIT_E = 256'0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011 -Parameter \INIT_F = 256'1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110 -Generating RTLIL representation for module `$paramod$846dbb211a861374c6fe88754cd6d7b75e31c8d1\SB_RAM40_4K'. +Parameter \WRITE_MODE = 1 +Parameter \READ_MODE = 1 +Parameter \INIT_0 = 256'1100000110010100101101110101000001101101011000011010000011100101000110011010110100001011111001000101110111110110010101101110000001000100101001011101100010011010111111001100001110111011110110011010000110110001101010010000110101000100101111010011000100111100 +Parameter \INIT_1 = 256'0110110010110111011011110001110010110001010000000001001101110000000110101001011111011010111100101010011110000011001110101110100110001110011010100010010000010011101101100001001000011101110111101000011110101110011010000101000101010011111101111101111010111010 +Parameter \INIT_2 = 256'0010000000000011110111011000101100011100010101110110101001110001111010011101010010101101000011100000000000100100110101101001100000110110101110001110001010000101100100010010001010010100011011101010101001111000011100001010010110010110010000010110101111100010 +Parameter \INIT_3 = 256'1000001100000111101100011110101110110111111010001001010100001000000110100100111001100101100000010110011101100011100101101010001101001010000111011101000011100100000110110011101110001000110011000010011100101111001001110011011110011001001111110100010011010000 +Parameter \INIT_4 = 256'1100101100101011101011010000110100001010110001100000111110000010011111000001100111111111111111001100001101011111000111111110000111000011100100011001110101010001011101100111000000001011011110100111111101111011001010001010011000010010010001100001000110101100 +Parameter \INIT_5 = 256'1111111001000010010100000110101101011011100000000101011111001001010101110001001001011010101011001100101011001110101111001001100010101011100001001100011010000000111111110111000001000001001011010110100010010100101110110001100110001000000010001011000011110000 +Parameter \INIT_6 = 256'1011110100111011101100000000000101010010010001010001100011010101001101010011100111011011111110010000110100010001111111100101101010000101110111010010111100000100101001101101111100010011000010110000001101101011111001011111010010000011010001111101010000001001 +Parameter \INIT_7 = 256'1101011000101101011010110110010000001111001110000101110100000001110101111000101100111101000100011110001101100111010010110111100111110011110011101110011000110001000011100101111010110111101010101111001101000010101111110100100000100110010011110111111001000001 +Parameter \INIT_8 = 256'0111000010000101010010001110111011101101111011001100110111100110101010100011110010101110111101010110100001000110000010100001000011011000100110010111101100001001110010011101101000100000001111010001000111000001111111000101000011001110110110100100000000001001 +Parameter \INIT_9 = 256'1011011111001001010100010011111110100010001101010000100011000011110011001000110111010100000001101101001000001001010010010011000100001110000100010011011011011111000010111000010110100110111000101110001110100100100000010111010011000001011011111110110011100110 +Parameter \INIT_A = 256'1001011101100011110010100010010001001101011011110001001100110001010101001100101000000001100110110001111101001100010011001000011010101110000001000100000111000101000010001000111110001010010010100101111011101000010111101110010101000000011111001010010011100100 +Parameter \INIT_B = 256'1110001010100011011100100101100010010010010111011111110110000001101100110101001001100101000110100000010010100001100111010100111110001011001100111100001010100000101101100101100001010000100101001110000010010010011001001001000111111011111100001010111001011101 +Parameter \INIT_C = 256'1100000101000010011110110010010111110011010010100110001001110001011110111111010101010101000110111010100100001110100000000010101101011100110011001010101111100010101011001100000000101010100111101000011101010011110110110010100100101011010111111001100001100101 +Parameter \INIT_D = 256'0010011000100001111011010011110000000111001011111000000010011001001000010111101001111000000001101101010011101001111011001011101101101111111010110100011000001101010110000000010011011001100111101001110011010011101100101010111100011101011010101010001110110000 +Parameter \INIT_E = 256'1101000110010110100111110101000110100111110000101001110001101110100110000001110000110101101000001001110101100100000110100100110011110101111000011101000111011100101101001001010111111000011010011010011101111001000101110000011100001101011010010011110111010000 +Parameter \INIT_F = 256'1001101111011100110111000111111010000110011101000011101110100001101101111000110100011100101000001001000110000100001110000011010111111101100010001010001010010101011101011001010110000001001101111010010001111010000001101000111000011110010111111001110111110110 +Generating RTLIL representation for module `$paramod$be6a86056d534463ff3ebe0d909e46f73bcf2843\SB_RAM40_4K'. 2.27. Printing statistics. === memtest === - Number of wires: 44 - Number of wire bits: 345 + Number of wires: 32 + Number of wire bits: 153 Number of public wires: 13 Number of public wire bits: 23 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 - Number of cells: 61 - SB_CARRY 9 - SB_DFF 12 + Number of cells: 43 + SB_CARRY 7 + SB_DFF 10 SB_GB 1 - SB_LUT4 23 - SB_RAM40_4K 16 + SB_LUT4 21 + SB_RAM40_4K 4 2.28. Executing CHECK pass (checking for obvious problems). checking module memtest.. @@ -2199,6 +1449,6 @@ found and reported 0 problems. 2.29. Executing JSON backend. Warnings: 9 unique messages, 9 total -End of script. Logfile hash: 2ae37747b9 +End of script. Logfile hash: c41236f0c4 Yosys 0.8+ (git sha1 UNKNOWN, x86_64-w64-mingw32-g++ 7.3-posix -O3 -DNDEBUG) Time spent: 2% 14x opt_expr (0 sec), 2% 14x opt_clean (0 sec), ... diff --git a/output.txt b/output.txt index d3a1320..0f65e91 100644 --- a/output.txt +++ b/output.txt @@ -4,978 +4,978 @@ CRAM data (bank 2): 692 x 336 @ 0x00000000; 232512 bits = 29064 bytes CRAM data (bank 3): 692 x 176 @ 0x00000000; 121792 bits = 15224 bytes BRAM data (bank 0): 160 x 128 @ 0x00000000; 20480 bits = 2560 bytes try 1: -00000000 00 00 00 00 00 00 00 00 5b 53 09 51 62 4d 7c 22 |........[S.QbM|"| -00000010 2e a2 d9 c3 00 00 00 00 00 00 00 00 02 b5 df ec |................| -00000020 43 e0 0e 80 90 c4 81 84 00 00 00 00 00 00 00 00 |C...............| -00000030 c6 6f 9f a8 58 58 c6 75 9d 64 68 52 00 00 00 00 |.o..XX.u.dhR....| -00000040 00 00 00 00 7c 20 4e 90 62 d9 08 be 9c 7b 1a 78 |....| N.b....{.x| -00000050 00 00 00 00 00 00 00 00 5a 84 13 13 fc 31 b4 71 |........Z....1.q| -00000060 d7 2e 37 c1 00 00 00 00 00 00 00 00 f0 7e 37 79 |..7..........~7y| -00000070 11 f3 e5 c8 84 e5 5f 39 00 00 00 00 00 00 00 00 |......_9........| -00000080 e6 2f f1 b9 d1 1f 7e 15 54 44 26 5e 00 00 00 00 |./....~.TD&^....| -00000090 00 00 00 00 7c 72 aa 20 41 14 76 72 0a 53 35 5c |....|r. A.vr.S5\| -000000a0 00 00 00 00 00 00 00 00 42 3d 13 88 47 34 c2 13 |........B=..G4..| -000000b0 9c 67 48 9c 00 00 00 00 00 00 00 00 88 30 09 56 |.gH..........0.V| -000000c0 62 52 12 0c 8e e1 3b c3 00 00 00 00 00 00 00 00 |bR....;.........| -000000d0 82 66 28 55 bf 19 61 23 92 99 46 16 00 00 00 00 |.f(U..a#..F.....| -000000e0 00 00 00 00 ce 28 23 f2 2e 09 f5 81 ed 59 03 11 |.....(#......Y..| -000000f0 00 00 00 00 00 00 00 00 08 36 cd ab 3b 80 a1 bd |.........6..;...| -00000100 b1 b0 ac 1b 00 00 00 00 00 00 00 00 df c0 d9 c5 |................| -00000110 71 26 27 fd 22 d6 77 74 00 00 00 00 00 00 00 00 |q&'.".wt........| -00000120 49 bf 74 a4 c7 92 fc 47 88 17 ef 13 00 00 00 00 |I.t....G........| -00000130 00 00 00 00 6d 08 ac 69 1a 7c 90 26 db a5 90 61 |....m..i.|.&...a| -00000140 00 00 00 00 00 00 00 00 9f 23 b5 f2 2b d4 77 59 |.........#..+.wY| -00000150 81 ec 99 7b 00 00 00 00 00 00 00 00 48 c6 4d 33 |...{........H.M3| -00000160 84 c8 7b a9 96 80 cb 7e 00 00 00 00 00 00 00 00 |..{....~........| -00000170 44 d4 f2 04 c8 da 52 54 4b a7 70 e2 00 00 00 00 |D.....RTK.p.....| -00000180 00 00 00 00 ab d8 7c 5a 07 6f 63 91 f6 dc 20 18 |......|Z.oc... .| -00000190 00 00 00 00 00 00 00 00 6f c5 cb 50 6e 18 79 bf |........o..Pn.y.| -000001a0 a4 c9 87 ca 00 00 00 00 00 00 00 00 ab 24 bb f3 |.............$..| -000001b0 75 6a 3d 2a f5 19 f2 35 00 00 00 00 00 00 00 00 |uj=*...5........| -000001c0 ec e5 94 1c 1d 34 55 c4 96 eb 59 83 00 00 00 00 |.....4U...Y.....| -000001d0 00 00 00 00 16 6c e7 9b a7 d7 61 ed dd fb af 04 |.....l....a.....| -000001e0 00 00 00 00 00 00 00 00 76 73 6f 2c 1b b3 47 c0 |........vso,..G.| -000001f0 7d cb 12 9f 00 00 00 00 00 00 00 00 dc 37 15 e6 |}............7..| -00000200 a2 7e 72 5c 33 94 65 70 00 00 00 00 00 00 00 00 |.~r\3.ep........| -00000210 1e 57 5b de 36 ae f9 3b 27 9b d4 60 00 00 00 00 |.W[.6..;'..`....| -00000220 00 00 00 00 cc 53 7d 30 ca 3f ea 80 2c 92 08 48 |.....S}0.?..,..H| -00000230 00 00 00 00 00 00 00 00 fe af 20 6c 7a 99 db 22 |.......... lz.."| -00000240 e7 20 d7 3c 00 00 00 00 00 00 00 00 97 eb 85 64 |. .<...........d| -00000250 b0 cc fc 63 a8 40 3a 25 00 00 00 00 00 00 00 00 |...c.@:%........| -00000260 c1 77 95 52 ff 4f 34 f9 e8 cd b3 5d 00 00 00 00 |.w.R.O4....]....| -00000270 00 00 00 00 7c ca 16 70 f6 73 d0 0d 6a 9b bf 02 |....|..p.s..j...| -00000280 00 00 00 00 00 00 00 00 47 4a 5f 4f 67 ec 51 a7 |........GJ_Og.Q.| -00000290 6b a8 86 fd 00 00 00 00 00 00 00 00 c4 3e 59 f1 |k............>Y.| -000002a0 d4 13 cc 11 a0 2c 05 c6 00 00 00 00 00 00 00 00 |.....,..........| -000002b0 db 6f d3 df da 1e 02 5d 9f 3b f6 d5 00 00 00 00 |.o.....].;......| -000002c0 00 00 00 00 ad 70 db 63 7c 83 93 fc 04 b6 76 47 |.....p.c|.....vG| -000002d0 00 00 00 00 00 00 00 00 b1 e5 20 57 57 97 7c f2 |.......... WW.|.| -000002e0 78 3e 2c 97 00 00 00 00 00 00 00 00 c3 5a b7 cb |x>,..........Z..| -000002f0 cb 39 6b 10 0e c1 0a df 00 00 00 00 00 00 00 00 |.9k.............| -00000300 b3 70 8a 1f 49 4b 35 31 40 f3 b3 d4 00 00 00 00 |.p..IK51@.......| -00000310 00 00 00 00 0b 4b e2 9f 17 99 32 5d 91 b5 da d5 |.....K....2]....| -00000320 00 00 00 00 00 00 00 00 8e a3 72 bd 19 97 6b cd |..........r...k.| -00000330 da 34 b9 8f 00 00 00 00 00 00 00 00 ad 31 96 87 |.4...........1..| -00000340 78 8d db 4f 7c 41 aa a9 00 00 00 00 00 00 00 00 |x..O|A..........| -00000350 5d d0 5a 34 90 f2 7c be c4 69 be 4f 00 00 00 00 |].Z4..|..i.O....| -00000360 00 00 00 00 8d 17 e1 25 60 8b 8b 9f 57 94 3d f1 |.......%`...W.=.| -00000370 00 00 00 00 00 00 00 00 74 43 d7 ce df a0 09 b5 |........tC......| -00000380 a6 e9 a7 a9 00 00 00 00 00 00 00 00 46 16 77 80 |............F.w.| -00000390 2d fa be a9 f6 75 12 a8 00 00 00 00 00 00 00 00 |-....u..........| -000003a0 85 d3 ea ce 06 04 5f 4d a2 da 13 02 00 00 00 00 |......_M........| -000003b0 00 00 00 00 b9 39 b9 1b b6 43 17 30 c1 43 bc 19 |.....9...C.0.C..| -000003c0 00 00 00 00 00 00 00 00 3f 95 a9 f2 ca 60 88 a7 |........?....`..| -000003d0 56 ac 3f 2f 00 00 00 00 00 00 00 00 7d 05 5f 1e |V.?/........}._.| -000003e0 d5 12 2d d8 a1 6c 46 ab 00 00 00 00 00 00 00 00 |..-..lF.........| -000003f0 b2 4c a8 02 71 83 5a 0c c9 f5 cb d6 00 00 00 00 |.L..q.Z.........| -00000400 00 00 00 00 9e e0 ba 26 de f2 dd 4d d0 36 25 2e |.......&...M.6%.| -00000410 00 00 00 00 00 00 00 00 67 e7 9f 89 42 b6 cd 51 |........g...B..Q| -00000420 58 f5 02 1e 00 00 00 00 00 00 00 00 d9 85 bb d4 |X...............| -00000430 b7 82 21 c2 f4 ec 97 22 00 00 00 00 00 00 00 00 |..!...."........| -00000440 a3 c2 e7 07 a6 cc 51 14 46 4e a3 70 00 00 00 00 |......Q.FN.p....| -00000450 00 00 00 00 19 93 a4 4a f8 90 b6 27 61 da ba fa |.......J...'a...| -00000460 00 00 00 00 00 00 00 00 87 46 c1 fd 7d 28 9c df |.........F..}(..| -00000470 2f 50 0d c2 00 00 00 00 00 00 00 00 26 e6 ba 09 |/P..........&...| -00000480 06 55 4d 89 dc 8b ed 01 00 00 00 00 00 00 00 00 |.UM.............| -00000490 50 b7 1b dc a0 e4 66 83 0f b0 ae 31 00 00 00 00 |P.....f....1....| -000004a0 00 00 00 00 c2 c7 fa 15 75 88 b2 63 da 35 18 98 |........u..c.5..| -000004b0 00 00 00 00 00 00 00 00 62 1d 1d ac 5d d1 5f 83 |........b...]._.| -000004c0 94 af f3 49 00 00 00 00 00 00 00 00 a0 58 30 c0 |...I.........X0.| -000004d0 fa 9e 3e 05 95 bf f4 92 00 00 00 00 00 00 00 00 |..>.............| -000004e0 4b 0a 2d a8 95 ed 1f a2 ba e6 2a 3c 00 00 00 00 |K.-.......*<....| -000004f0 00 00 00 00 55 08 ee a4 b0 0b 4e 3d 73 b7 70 2a |....U.....N=s.p*| -00000500 00 00 00 00 00 00 00 00 83 cd b9 7e e5 ec e3 42 |...........~...B| -00000510 91 4d 4b ef 00 00 00 00 00 00 00 00 e3 5a fc a6 |.MK..........Z..| -00000520 e1 05 42 1c 26 a8 c9 44 00 00 00 00 00 00 00 00 |..B.&..D........| -00000530 09 1e 37 52 60 44 16 3d ef ba 94 0e 00 00 00 00 |..7R`D.=........| -00000540 00 00 00 00 d1 5c f6 2f 5d 4e f5 d0 a0 78 51 3b |.....\./]N...xQ;| -00000550 00 00 00 00 00 00 00 00 af 17 a9 47 26 cd ac 7e |...........G&..~| -00000560 b4 8e 71 29 00 00 00 00 00 00 00 00 38 48 bd 8c |..q)........8H..| -00000570 dc ee 65 18 54 38 7f c9 00 00 00 00 00 00 00 00 |..e.T8..........| -00000580 c3 cb ca 6e 27 b4 22 b1 86 8d 14 e3 00 00 00 00 |...n'.".........| -00000590 00 00 00 00 bd 8d 62 4d e7 56 a9 65 2e 6b db b3 |......bM.V.e.k..| -000005a0 00 00 00 00 00 00 00 00 f5 3a 31 a7 b3 db f5 1f |.........:1.....| -000005b0 3b 20 3f 8a 00 00 00 00 00 00 00 00 a7 2b d4 c2 |; ?..........+..| -000005c0 77 a9 f6 43 be f3 19 8b 00 00 00 00 00 00 00 00 |w..C............| -000005d0 bd c9 5b 23 c3 76 59 ca 4d 53 53 5d 00 00 00 00 |..[#.vY.MSS]....| -000005e0 00 00 00 00 32 ec a6 41 e2 8a ac 4a 72 ff dc 39 |....2..A...Jr..9| -000005f0 00 00 00 00 00 00 00 00 5a f7 f3 1b fe 34 4f fa |........Z....4O.| -00000600 e0 f5 44 c7 00 00 00 00 00 00 00 00 82 ee 67 3f |..D...........g?| -00000610 6a ca 21 01 36 db bc 6b 00 00 00 00 00 00 00 00 |j.!.6..k........| -00000620 ea 0a 3c c0 26 66 47 46 e5 fa 73 8a 00 00 00 00 |..<.&fGF..s.....| -00000630 00 00 00 00 6e 48 e6 13 72 29 d3 05 2c 57 99 7f |....nH..r)..,W..| -00000640 00 00 00 00 00 00 00 00 d9 95 60 24 4a 61 a1 ff |..........`$Ja..| -00000650 eb 4d b8 fe 00 00 00 00 00 00 00 00 cd 3b 29 86 |.M...........;).| -00000660 11 26 2b 08 b6 21 32 80 00 00 00 00 00 00 00 00 |.&+..!2.........| -00000670 1f e7 a1 00 b5 d2 0b 96 4c a9 4e b2 00 00 00 00 |........L.N.....| -00000680 00 00 00 00 97 22 b6 ad ab 8e a5 ce df 24 0c d4 |.....".......$..| -00000690 00 00 00 00 00 00 00 00 82 76 c0 c8 5b 5f 7d 61 |.........v..[_}a| -000006a0 fc 7f 69 f4 00 00 00 00 00 00 00 00 41 21 f2 f6 |..i.........A!..| -000006b0 46 87 93 ee f0 9c 99 19 00 00 00 00 00 00 00 00 |F...............| -000006c0 be 78 df 61 d4 e0 2e 57 fb 32 0f 58 00 00 00 00 |.x.a...W.2.X....| -000006d0 00 00 00 00 07 94 1e 24 14 db e9 ff 3b e5 27 7e |.......$....;.'~| -000006e0 00 00 00 00 00 00 00 00 17 a8 df 73 f7 53 25 c4 |...........s.S%.| -000006f0 5b 5a d3 8e 00 00 00 00 00 00 00 00 a3 41 ee d1 |[Z...........A..| -00000700 32 cd cb 65 e7 d8 4e 75 00 00 00 00 00 00 00 00 |2..e..Nu........| -00000710 a4 9e 97 59 34 97 2a 2f 0e 01 39 f2 00 00 00 00 |...Y4.*/..9.....| -00000720 00 00 00 00 0e 81 f6 2d 7b 15 c3 1c ff 96 53 7f |.......-{.....S.| -00000730 00 00 00 00 00 00 00 00 ef 83 a2 15 33 50 98 b8 |............3P..| -00000740 7e f2 1d f1 00 00 00 00 00 00 00 00 2e 53 a4 1d |~............S..| -00000750 b0 94 dd 0f 2f 6f 37 f3 00 00 00 00 00 00 00 00 |..../o7.........| -00000760 d0 78 97 5a 5c c5 75 be a6 40 d1 72 00 00 00 00 |.x.Z\.u..@.r....| -00000770 00 00 00 00 7e 37 64 ca 47 31 1b 61 8d aa 3d 1d |....~7d.G1.a..=.| -00000780 00 00 00 00 00 00 00 00 12 ff 22 67 7a 6a 14 3d |.........."gzj.=| -00000790 a6 9c 97 1e 00 00 00 00 00 00 00 00 5e df 2d 82 |............^.-.| -000007a0 f0 fe 74 b4 72 41 b3 aa 00 00 00 00 00 00 00 00 |..t.rA..........| -000007b0 d0 30 b3 eb 22 15 b8 d5 d1 ec 6f 86 00 00 00 00 |.0..".....o.....| -000007c0 00 00 00 00 9c 87 f7 97 e9 7a 7c 77 d6 af 40 86 |.........z|w..@.| -000007d0 00 00 00 00 00 00 00 00 b9 0c 32 5b ed 73 88 2d |..........2[.s.-| -000007e0 9d b9 6e c0 00 00 00 00 00 00 00 00 8d 23 fb c2 |..n..........#..| -000007f0 85 bb a8 38 21 75 37 e8 00 00 00 00 00 00 00 00 |...8!u7.........| -00000800 94 73 87 cf 27 6e 48 cd f2 e4 76 b6 00 00 00 00 |.s..'nH...v.....| -00000810 00 00 00 00 7d 1f 2a a9 5b 8f 53 3f 9c fe cb 9d |....}.*.[.S?....| -00000820 00 00 00 00 00 00 00 00 91 95 77 eb 1b e0 45 2f |..........w...E/| -00000830 47 2d e1 35 00 00 00 00 00 00 00 00 8f 09 19 83 |G-.5............| -00000840 81 f9 42 3d 3c 7a f8 c4 00 00 00 00 00 00 00 00 |..B=.|F..o.}| -000009b0 00 00 00 00 00 00 00 00 98 b7 98 09 71 f9 d7 3d |............q..=| -000009c0 e3 29 ad b8 00 00 00 00 00 00 00 00 7c 38 bb b8 |.)..........|8..| -000009d0 4a 2e 3c b8 28 74 28 85 00 00 00 00 00 00 00 00 |J.<.(t(.........| -000009e0 36 c9 87 34 65 19 7b f2 e6 21 a0 89 00 00 00 00 |6..4e.{..!......| -000009f0 00 00 00 00 37 2a c9 ba cd 00 ae 75 3d 9e 53 0f |....7*.....u=.S.| +00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000010 77 f8 31 3c 00 00 00 00 00 00 00 00 00 00 00 00 |w.1<............| +00000020 00 00 00 00 4d 75 44 bd 00 00 00 00 00 00 00 00 |....MuD.........| +00000030 00 00 00 00 00 00 00 00 2f 1f a9 0d 00 00 00 00 |......../.......| +00000040 00 00 00 00 00 00 00 00 00 00 00 00 8d d2 a1 b1 |................| +00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000060 d5 b5 bb d9 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000070 00 00 00 00 d3 94 fc c3 00 00 00 00 00 00 00 00 |................| +00000080 00 00 00 00 00 00 00 00 ac c7 d8 9a 00 00 00 00 |................| +00000090 00 00 00 00 00 00 00 00 00 00 00 00 43 ad 44 a5 |............C.D.| +000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000b0 01 5c 56 e0 00 00 00 00 00 00 00 00 00 00 00 00 |.\V.............| +000000c0 00 00 00 00 ff eb 5d f6 00 00 00 00 00 00 00 00 |......].........| +000000d0 00 00 00 00 00 00 00 00 a4 45 0b e4 00 00 00 00 |.........E......| +000000e0 00 00 00 00 00 00 00 00 00 00 00 00 d3 e1 19 ad |................| +000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000100 30 c5 a0 e5 00 00 00 00 00 00 00 00 00 00 00 00 |0...............| +00000110 00 00 00 00 c5 a4 6d 61 00 00 00 00 00 00 00 00 |......ma........| +00000120 00 00 00 00 00 00 00 00 f9 23 b7 50 00 00 00 00 |.........#.P....| +00000130 00 00 00 00 00 00 00 00 00 00 00 00 15 50 c1 94 |.............P..| +00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000150 7d dd de ba 00 00 00 00 00 00 00 00 00 00 00 00 |}...............| +00000160 00 00 00 00 e5 7d 53 f7 00 00 00 00 00 00 00 00 |.....}S.........| +00000170 00 00 00 00 00 00 00 00 2a 1c 68 51 00 00 00 00 |........*.hQ....| +00000180 00 00 00 00 00 00 00 00 00 00 00 00 02 80 87 ae |................| +00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001a0 e3 d5 1d de 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001b0 00 00 00 00 90 53 b6 12 00 00 00 00 00 00 00 00 |.....S..........| +000001c0 00 00 00 00 00 00 00 00 7c f8 24 13 00 00 00 00 |........|.$.....| +000001d0 00 00 00 00 00 00 00 00 00 00 00 00 c3 de 8e 6a |...............j| +000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001f0 b3 f4 3a e9 00 00 00 00 00 00 00 00 00 00 00 00 |..:.............| +00000200 00 00 00 00 4f a0 a7 83 00 00 00 00 00 00 00 00 |....O...........| +00000210 00 00 00 00 00 00 00 00 0d d2 da f2 00 00 00 00 |................| +00000220 00 00 00 00 00 00 00 00 00 00 00 00 06 91 1a 97 |................| +00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000240 c8 e6 13 70 00 00 00 00 00 00 00 00 00 00 00 00 |...p............| +00000250 00 00 00 00 94 12 b1 40 00 00 00 00 00 00 00 00 |.......@........| +00000260 00 00 00 00 00 00 00 00 d5 50 6f 1c 00 00 00 00 |.........Po.....| +00000270 00 00 00 00 00 00 00 00 00 00 00 00 e4 67 6c b7 |.............gl.| +00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000290 9f 62 6b e2 00 00 00 00 00 00 00 00 00 00 00 00 |.bk.............| +000002a0 00 00 00 00 60 13 96 41 00 00 00 00 00 00 00 00 |....`..A........| +000002b0 00 00 00 00 00 00 00 00 95 fa 70 a5 00 00 00 00 |..........p.....| +000002c0 00 00 00 00 00 00 00 00 00 00 00 00 b6 40 aa 78 |.............@.x| +000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002e0 3d 55 94 6e 00 00 00 00 00 00 00 00 00 00 00 00 |=U.n............| +000002f0 00 00 00 00 bf d1 91 22 00 00 00 00 00 00 00 00 |......."........| +00000300 00 00 00 00 00 00 00 00 c5 a3 e2 85 00 00 00 00 |................| +00000310 00 00 00 00 00 00 00 00 00 00 00 00 95 36 36 b8 |.............66.| +00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000330 73 34 d6 98 00 00 00 00 00 00 00 00 00 00 00 00 |s4..............| +00000340 00 00 00 00 92 3a 00 24 00 00 00 00 00 00 00 00 |.....:.$........| +00000350 00 00 00 00 00 00 00 00 b3 00 ad 0e 00 00 00 00 |................| +00000360 00 00 00 00 00 00 00 00 00 00 00 00 50 93 e9 d4 |............P...| +00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000380 d2 d0 6a 71 00 00 00 00 00 00 00 00 00 00 00 00 |..jq............| +00000390 00 00 00 00 89 1f 1c 57 00 00 00 00 00 00 00 00 |.......W........| +000003a0 00 00 00 00 00 00 00 00 ec 24 dd 8b 00 00 00 00 |.........$......| +000003b0 00 00 00 00 00 00 00 00 00 00 00 00 1f e5 20 03 |.............. .| +000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003d0 ff cf 44 d0 00 00 00 00 00 00 00 00 00 00 00 00 |..D.............| +000003e0 00 00 00 00 b6 b2 99 3f 00 00 00 00 00 00 00 00 |.......?........| +000003f0 00 00 00 00 00 00 00 00 ef e2 27 37 00 00 00 00 |..........'7....| +00000400 00 00 00 00 00 00 00 00 00 00 00 00 6f ea 27 2f |............o.'/| +00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000420 a5 c0 88 cc 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000430 00 00 00 00 ec 80 1b 3b 00 00 00 00 00 00 00 00 |.......;........| +00000440 00 00 00 00 00 00 00 00 cb a9 d0 e4 00 00 00 00 |................| +00000450 00 00 00 00 00 00 00 00 00 00 00 00 ab 3c 4a 1d |...........................| +00000890 00 00 00 00 89 78 52 45 00 00 00 00 00 00 00 00 |.....xRE........| +000008a0 00 00 00 00 00 00 00 00 fd 32 b0 01 00 00 00 00 |.........2......| +000008b0 00 00 00 00 00 00 00 00 00 00 00 00 48 14 bd 3b |............H..;| +000008c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008d0 1c fe 7e 41 00 00 00 00 00 00 00 00 00 00 00 00 |..~A............| +000008e0 00 00 00 00 82 0e 26 4f 00 00 00 00 00 00 00 00 |......&O........| +000008f0 00 00 00 00 00 00 00 00 1e 2c bf 48 00 00 00 00 |.........,.H....| +00000900 00 00 00 00 00 00 00 00 00 00 00 00 71 7a f3 42 |............qz.B| +00000910 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000920 34 14 b7 aa 00 00 00 00 00 00 00 00 00 00 00 00 |4...............| +00000930 00 00 00 00 b5 53 0e 5e 00 00 00 00 00 00 00 00 |.....S.^........| +00000940 00 00 00 00 00 00 00 00 77 55 e6 31 00 00 00 00 |........wU.1....| +00000950 00 00 00 00 00 00 00 00 00 00 00 00 3e 6c f3 ce |............>l..| +00000960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000970 98 51 4b 79 00 00 00 00 00 00 00 00 00 00 00 00 |.QKy............| +00000980 00 00 00 00 c4 66 e3 67 00 00 00 00 00 00 00 00 |.....f.g........| +00000990 00 00 00 00 00 00 00 00 38 b1 3d 11 00 00 00 00 |........8.=.....| +000009a0 00 00 00 00 00 00 00 00 00 00 00 00 97 66 d7 8b |.............f..| +000009b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009c0 47 ed 5d 01 00 00 00 00 00 00 00 00 00 00 00 00 |G.].............| +000009d0 00 00 00 00 13 57 0f 38 00 00 00 00 00 00 00 00 |.....W.8........| +000009e0 00 00 00 00 00 00 00 00 15 a5 6b 64 00 00 00 00 |..........kd....| +000009f0 00 00 00 00 00 00 00 00 00 00 00 00 f7 91 d6 2d |...............-| BRAM data (bank 0): 160 x 128 @ 0x00000080; 20480 bits = 2560 bytes try 1: -00000000 00 00 00 00 00 00 00 00 50 92 86 96 c4 fb 98 4c |........P......L| -00000010 d2 46 d3 ea 00 00 00 00 00 00 00 00 9a af 9e ae |.F..............| -00000020 ee 10 c1 38 9e 94 98 48 00 00 00 00 00 00 00 00 |...8...H........| -00000030 d7 bc 38 fa ee 40 78 3e 33 e5 7e c1 00 00 00 00 |..8..@x>3.~.....| -00000040 00 00 00 00 db 9e 1d 23 44 fa 9a b2 e8 07 00 aa |.......#D.......| -00000050 00 00 00 00 00 00 00 00 90 86 9c 33 a9 7b 6b dc |...........3.{k.| -00000060 a3 98 c0 81 00 00 00 00 00 00 00 00 3c 60 55 be |............<`U.| -00000070 9c d3 23 40 a2 13 34 67 00 00 00 00 00 00 00 00 |..#@..4g........| -00000080 f9 2f b4 08 98 55 73 c0 6e 85 e6 a1 00 00 00 00 |./...Us.n.......| -00000090 00 00 00 00 53 3e 32 9f 4e 6f 92 c0 6a 6d f3 93 |....S>2.No..jm..| -000000a0 00 00 00 00 00 00 00 00 67 f4 95 9e 46 ed b1 e2 |........g...F...| -000000b0 46 dc b7 8d 00 00 00 00 00 00 00 00 c5 1c 84 9a |F...............| -000000c0 80 db c0 a6 51 85 37 49 00 00 00 00 00 00 00 00 |....Q.7I........| -000000d0 e7 53 dc 47 3e fc 7e 55 c3 c0 70 8e 00 00 00 00 |.S.G>.~U..p.....| -000000e0 00 00 00 00 0c d5 11 c0 70 77 bd 5b 6d db 20 59 |........pw.[m. Y| -000000f0 00 00 00 00 00 00 00 00 44 d7 4b e3 c9 b9 9b 3b |........D.K....;| -00000100 f1 88 0d 77 00 00 00 00 00 00 00 00 c9 bf 70 90 |...w..........p.| -00000110 7d 33 7f 9a 49 5f f3 36 00 00 00 00 00 00 00 00 |}3..I_.6........| -00000120 98 20 87 f2 21 58 fc f1 1c 62 2c 2e 00 00 00 00 |. ..!X...b,.....| -00000130 00 00 00 00 a7 18 6d e9 aa 7d 7b 04 80 1e 4c bf |......m..}{...L.| -00000140 00 00 00 00 00 00 00 00 f2 0d ff f0 d0 4f 68 88 |.............Oh.| -00000150 0e 35 28 3d 00 00 00 00 00 00 00 00 20 87 4a cd |.5(=........ .J.| -00000160 66 ad bc a5 57 32 3f eb 00 00 00 00 00 00 00 00 |f...W2?.........| -00000170 2b a9 9e e7 74 d0 9e e3 d4 d8 96 22 00 00 00 00 |+...t......"....| -00000180 00 00 00 00 17 e2 8f ce 99 6b 45 af 0d 6e 6d 62 |.........kE..nmb| -00000190 00 00 00 00 00 00 00 00 e5 56 ef 65 06 8c 37 b3 |.........V.e..7.| -000001a0 e1 b6 11 fd 00 00 00 00 00 00 00 00 e8 0e 57 2c |..............W,| -000001b0 a8 6f 00 74 7c 50 7b e6 00 00 00 00 00 00 00 00 |.o.t|P{.........| -000001c0 4a e1 35 33 18 8c f8 94 eb 16 87 51 00 00 00 00 |J.53.......Q....| -000001d0 00 00 00 00 67 ac 34 75 35 81 86 e8 37 1d a4 d2 |....g.4u5...7...| -000001e0 00 00 00 00 00 00 00 00 f7 7b 83 35 32 db af c3 |.........{.52...| -000001f0 8b 77 5f ff 00 00 00 00 00 00 00 00 fc 72 9b 8c |.w_..........r..| -00000200 d0 22 00 56 b8 f8 39 e0 00 00 00 00 00 00 00 00 |.".V..9.........| -00000210 a6 12 f8 ba 21 d0 a9 30 93 14 f2 1d 00 00 00 00 |....!..0........| -00000220 00 00 00 00 30 79 cd c6 80 64 5b c0 a7 7b 4f 54 |....0y...d[..{OT| -00000230 00 00 00 00 00 00 00 00 26 ea 95 22 54 09 31 10 |........&.."T.1.| -00000240 d1 1c 61 bf 00 00 00 00 00 00 00 00 4b b6 33 0d |..a.........K.3.| -00000250 86 5d 3d c7 d9 14 0b 32 00 00 00 00 00 00 00 00 |.]=....2........| -00000260 e5 b8 c4 3f 2f ba a3 d7 72 cf 5f 7d 00 00 00 00 |...?/...r._}....| -00000270 00 00 00 00 f9 75 ee 4e 54 b1 6f 84 d2 b2 92 c3 |.....u.NT.o.....| -00000280 00 00 00 00 00 00 00 00 40 d6 32 91 4f f5 da 04 |........@.2.O...| -00000290 5f 99 cc 60 00 00 00 00 00 00 00 00 1c 32 14 39 |_..`.........2.9| -000002a0 46 fc ba 7b 69 fe fc 28 00 00 00 00 00 00 00 00 |F..{i..(........| -000002b0 79 80 dc 0f ee ce df 05 24 af 1c 29 00 00 00 00 |y.......$..)....| -000002c0 00 00 00 00 d1 55 5c 1f 2f cb 9b 8c 3d 52 29 cf |.....U\./...=R).| -000002d0 00 00 00 00 00 00 00 00 b3 34 2a e0 95 47 5a a6 |.........4*..GZ.| -000002e0 13 1e 7c aa 00 00 00 00 00 00 00 00 f0 5b ce 8f |..|..........[..| -000002f0 bf 20 18 fe b6 53 02 38 00 00 00 00 00 00 00 00 |. ...S.8........| -00000300 9a 82 1b d2 11 eb f0 ae d9 a6 38 e7 00 00 00 00 |..........8.....| -00000310 00 00 00 00 a1 3d fd c8 50 0f 71 90 0a 1f d6 65 |.....=..P.q....e| -00000320 00 00 00 00 00 00 00 00 95 5a c9 cc 8c 43 c6 fe |.........Z...C..| -00000330 2b 09 b6 e8 00 00 00 00 00 00 00 00 79 1b 36 49 |+...........y.6I| -00000340 cf ee 33 11 0c 7a 71 fa 00 00 00 00 00 00 00 00 |..3..zq.........| -00000350 7b 01 60 e3 b8 5b 4e c5 65 bc a4 09 00 00 00 00 |{.`..[N.e.......| -00000360 00 00 00 00 7b 80 54 bb 6b 88 6d 99 2b db 8e be |....{.T.k.m.+...| -00000370 00 00 00 00 00 00 00 00 b0 22 c9 1d fe ac c2 21 |.........".....!| -00000380 aa e2 b6 c4 00 00 00 00 00 00 00 00 20 6f 96 64 |............ o.d| -00000390 9e df 7b 02 72 d0 68 cd 00 00 00 00 00 00 00 00 |..{.r.h.........| -000003a0 2b 47 a7 1f 86 6c 72 db 52 84 10 78 00 00 00 00 |+G...lr.R..x....| -000003b0 00 00 00 00 6f b7 7a 21 75 bc 06 f0 dd f3 08 26 |....o.z!u......&| -000003c0 00 00 00 00 00 00 00 00 22 53 5c 70 db db 8f 82 |........"S\p....| -000003d0 39 d4 da 90 00 00 00 00 00 00 00 00 ef 00 81 99 |9...............| -000003e0 74 55 62 22 d0 f4 29 bb 00 00 00 00 00 00 00 00 |tUb"..).........| -000003f0 44 45 0a 8d 6a 35 6e 74 4f 69 7d 38 00 00 00 00 |DE..j5ntOi}8....| -00000400 00 00 00 00 aa 00 87 5a e1 d9 49 83 a8 64 20 c7 |.......Z..I..d .| -00000410 00 00 00 00 00 00 00 00 be b1 38 64 81 bc 58 2a |..........8d..X*| -00000420 aa d8 b9 68 00 00 00 00 00 00 00 00 30 4a 3c 76 |...h........0J..4'.| -00000470 c2 05 ed ad 00 00 00 00 00 00 00 00 bd b9 fb 72 |...............r| -00000480 38 45 a4 6e 10 58 0b 85 00 00 00 00 00 00 00 00 |8E.n.X..........| -00000490 2c cb a0 1c 27 6a 24 1f ee 31 cc dc 00 00 00 00 |,...'j$..1......| -000004a0 00 00 00 00 18 f7 19 7c 43 15 c2 40 35 0e 3e 9d |.......|C..@5.>.| -000004b0 00 00 00 00 00 00 00 00 e8 d5 74 22 6c a4 4a 6b |..........t"l.Jk| -000004c0 89 f7 0a f6 00 00 00 00 00 00 00 00 90 63 f6 f4 |.............c..| -000004d0 8f 6a 54 a0 4e 79 db d0 00 00 00 00 00 00 00 00 |.jT.Ny..........| -000004e0 d1 85 be 6f d6 ad 1f 53 ad 9a ef 79 00 00 00 00 |...o...S...y....| -000004f0 00 00 00 00 db 62 d3 f9 78 00 e2 48 28 50 e4 16 |.....b..x..H(P..| -00000500 00 00 00 00 00 00 00 00 66 0b cb 95 ff 7e cc 4b |........f....~.K| -00000510 bd 16 fc aa 00 00 00 00 00 00 00 00 7b c0 c6 9f |............{...| -00000520 29 6e 50 a7 fa bd ac f7 00 00 00 00 00 00 00 00 |)nP.............| -00000530 f7 10 d9 62 7d 21 1d e5 c4 6e c9 09 00 00 00 00 |...b}!...n......| -00000540 00 00 00 00 c0 50 bc 9d 73 be b8 eb ad 5e d9 ad |.....P..s....^..| -00000550 00 00 00 00 00 00 00 00 a3 c2 78 eb a6 48 fc bf |..........x..H..| -00000560 95 c8 66 d9 00 00 00 00 00 00 00 00 17 58 75 e6 |..f..........Xu.| -00000570 91 1b 97 03 f0 93 5b 1c 00 00 00 00 00 00 00 00 |......[.........| -00000580 b6 ad 6b 9b 7d ba 0b 85 2c df e2 04 00 00 00 00 |..k.}...,.......| -00000590 00 00 00 00 4e f7 c9 1c 42 9a fc f3 1a 67 60 07 |....N...B....g`.| -000005a0 00 00 00 00 00 00 00 00 e7 ed c0 3c a0 0c e5 5f |...........<..._| -000005b0 09 39 8e fe 00 00 00 00 00 00 00 00 15 a7 82 c0 |.9..............| -000005c0 76 35 69 1d 7b bc a0 3f 00 00 00 00 00 00 00 00 |v5i.{..?........| -000005d0 ad 6d cf 6d 5f 41 1c e3 ac 1e 4f d8 00 00 00 00 |.m.m_A....O.....| -000005e0 00 00 00 00 f4 10 ea a8 be d7 8f f1 c6 d7 ee 51 |...............Q| -000005f0 00 00 00 00 00 00 00 00 64 d0 da 1e 57 cd 84 e4 |........d...W...| -00000600 9c 11 fc 93 00 00 00 00 00 00 00 00 5a ac 06 77 |............Z..w| -00000610 11 3f 06 bb cb 66 ef cb 00 00 00 00 00 00 00 00 |.?...f..........| -00000620 41 0e 1d 98 40 09 41 3c d7 f4 36 74 00 00 00 00 |A...@.A<..6t....| -00000630 00 00 00 00 31 b2 b7 e2 41 2f ea 05 c2 1a 75 52 |....1...A/....uR| -00000640 00 00 00 00 00 00 00 00 be ef ae 23 65 15 ae b8 |...........#e...| -00000650 6a 22 48 49 00 00 00 00 00 00 00 00 b0 86 75 c9 |j"HI..........u.| -00000660 dc 18 10 d1 02 ef 17 4d 00 00 00 00 00 00 00 00 |.......M........| -00000670 fb 5d 0c fb 0e 89 f1 ad bc 20 92 cd 00 00 00 00 |.]....... ......| -00000680 00 00 00 00 a6 21 84 09 ab a4 65 ee 79 75 39 62 |.....!....e.yu9b| -00000690 00 00 00 00 00 00 00 00 93 5a 1f 6c c1 dd f8 97 |.........Z.l....| -000006a0 f8 1c 28 ec 00 00 00 00 00 00 00 00 bd fe bf be |..(.............| -000006b0 1d db 35 ca e6 86 7f d7 00 00 00 00 00 00 00 00 |..5.............| -000006c0 38 7e 22 ff 02 7b b0 56 0a 9e 98 ee 00 00 00 00 |8~"..{.V........| -000006d0 00 00 00 00 63 38 80 7d ea 87 29 25 e6 a8 8a ed |....c8.}..)%....| -000006e0 00 00 00 00 00 00 00 00 32 18 90 69 1a ae ed d2 |........2..i....| -000006f0 97 e8 82 5f 00 00 00 00 00 00 00 00 f0 79 4f b2 |..._.........yO.| -00000700 76 0a ee d0 3a fd 4e 77 00 00 00 00 00 00 00 00 |v...:.Nw........| -00000710 f2 8f 37 34 67 d5 a6 fa 35 06 03 23 00 00 00 00 |..74g...5..#....| -00000720 00 00 00 00 2b ef c5 b5 17 54 da 3a 4a c3 3e 61 |....+....T.:J.>a| -00000730 00 00 00 00 00 00 00 00 cd 7d a4 7f 82 48 48 ad |.........}...HH.| -00000740 c5 8e e2 ca 00 00 00 00 00 00 00 00 91 90 40 66 |..............@f| -00000750 e0 3f d9 4a b6 3e 92 5e 00 00 00 00 00 00 00 00 |.?.J.>.^........| -00000760 f2 59 8d 8c bf 5f a1 63 81 eb fe 0e 00 00 00 00 |.Y..._.c........| -00000770 00 00 00 00 c0 c3 a0 b6 5c d7 67 f6 ac 17 94 94 |........\.g.....| -00000780 00 00 00 00 00 00 00 00 c3 14 3d 8b 56 ee 97 11 |..........=.V...| -00000790 8a 75 26 a3 00 00 00 00 00 00 00 00 9f 23 05 8b |.u&..........#..| -000007a0 84 bc aa 40 ca 8f 74 0c 00 00 00 00 00 00 00 00 |...@..t.........| -000007b0 d0 79 7a 23 7f 4f 84 81 07 7e 7d e5 00 00 00 00 |.yz#.O...~}.....| -000007c0 00 00 00 00 a1 86 b0 ed 2c d1 be 31 51 d6 17 6e |........,..1Q..n| -000007d0 00 00 00 00 00 00 00 00 fb 3c 3e c0 a7 9e ec a9 |.........<>.....| -000007e0 b7 54 92 5c 00 00 00 00 00 00 00 00 8e b5 d8 a8 |.T.\............| -000007f0 35 88 b4 6d 6a 5d 7d 25 00 00 00 00 00 00 00 00 |5..mj]}%........| -00000800 6c e0 6f dd 8f a0 7e 25 be c4 af 16 00 00 00 00 |l.o...~%........| -00000810 00 00 00 00 11 8d 49 6b b3 fd 29 1c a1 09 6e 95 |......Ik..)...n.| -00000820 00 00 00 00 00 00 00 00 74 6f ee ee a4 f9 0d b7 |........to......| -00000830 1a b2 42 bc 00 00 00 00 00 00 00 00 1c f7 25 28 |..B...........%(| -00000840 58 89 d5 fa 86 26 4f 1f 00 00 00 00 00 00 00 00 |X....&O.........| -00000850 51 d0 f7 23 1a ce d6 d3 f5 19 bc 66 00 00 00 00 |Q..#.......f....| -00000860 00 00 00 00 17 e3 32 83 c6 5a f6 0c 7f b4 00 dc |......2..Z......| -00000870 00 00 00 00 00 00 00 00 d4 5b fe 66 d9 b0 65 6f |.........[.f..eo| -00000880 5b a5 03 b3 00 00 00 00 00 00 00 00 5b fd 6f ba |[...........[.o.| -00000890 da 46 11 aa 53 37 13 06 00 00 00 00 00 00 00 00 |.F..S7..........| -000008a0 3f 68 26 9d a1 ff f5 59 84 a8 5a 07 00 00 00 00 |?h&....Y..Z.....| -000008b0 00 00 00 00 f1 d3 80 e7 a8 b3 0d 97 d5 a4 46 a0 |..............F.| -000008c0 00 00 00 00 00 00 00 00 ab 1f f3 1d 64 eb 9b 91 |............d...| -000008d0 be 27 8e b2 00 00 00 00 00 00 00 00 08 fb 8f 73 |.'.............s| -000008e0 af 44 d3 a1 1a 81 be be 00 00 00 00 00 00 00 00 |.D..............| -000008f0 f0 cd 2d 51 b8 7c 6f df 5e 7d a5 b1 00 00 00 00 |..-Q.|o.^}......| -00000900 00 00 00 00 08 9d db d9 ce 43 bf 26 7d 2e 05 43 |.........C.&}..C| -00000910 00 00 00 00 00 00 00 00 13 61 d4 7c 7b 4b 0a f9 |.........a.|{K..| -00000920 56 88 7c 92 00 00 00 00 00 00 00 00 89 85 5a 79 |V.|...........Zy| -00000930 20 c6 fd 7d 2d af e0 25 00 00 00 00 00 00 00 00 | ..}-..%........| -00000940 bd be 5b 8c 23 b2 b0 ca 89 dc 21 9c 00 00 00 00 |..[.#.....!.....| -00000950 00 00 00 00 3c 11 33 11 c0 13 a6 cd aa f3 06 05 |....<.3.........| -00000960 00 00 00 00 00 00 00 00 b2 41 94 47 7c 6f 32 b4 |.........A.G|o2.| -00000970 2f 18 6d 83 00 00 00 00 00 00 00 00 f3 cb d7 e5 |/.m.............| -00000980 37 21 47 b7 57 e0 9c e1 00 00 00 00 00 00 00 00 |7!G.W...........| -00000990 3f 06 45 58 78 1e 49 ff 93 d0 23 36 00 00 00 00 |?.EXx.I...#6....| -000009a0 00 00 00 00 ea 6c d7 d0 94 c3 bf 6b 5d f5 7f a0 |.....l.....k]...| -000009b0 00 00 00 00 00 00 00 00 d4 66 ae 75 11 3f de af |.........f.u.?..| -000009c0 0f ea 16 f0 00 00 00 00 00 00 00 00 d5 04 f6 9a |................| -000009d0 6a ee da 42 19 77 d1 75 00 00 00 00 00 00 00 00 |j..B.w.u........| -000009e0 00 d6 3a 24 77 ce ea c4 33 7f e2 d1 00 00 00 00 |..:$w...3.......| -000009f0 00 00 00 00 e1 5d df f8 8f bf ca c9 b8 f0 bf 93 |.....]..........| +00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000010 e5 23 40 09 00 00 00 00 00 00 00 00 00 00 00 00 |.#@.............| +00000020 00 00 00 00 04 d1 ce da 00 00 00 00 00 00 00 00 |................| +00000030 00 00 00 00 00 00 00 00 9a b0 fc 50 00 00 00 00 |...........P....| +00000040 00 00 00 00 00 00 00 00 00 00 00 00 23 b7 11 c1 |............#...| +00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000060 17 3c 20 3d 00 00 00 00 00 00 00 00 00 00 00 00 |.< =............| +00000070 00 00 00 00 32 0d c9 da 00 00 00 00 00 00 00 00 |....2...........| +00000080 00 00 00 00 00 00 00 00 9e 35 7b 09 00 00 00 00 |.........5{.....| +00000090 00 00 00 00 00 00 00 00 00 00 00 00 f6 cb d8 99 |................| +000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000b0 d3 71 0a 10 00 00 00 00 00 00 00 00 00 00 00 00 |.q..............| +000000c0 00 00 00 00 db 4b 68 46 00 00 00 00 00 00 00 00 |.....KhF........| +000000d0 00 00 00 00 00 00 00 00 26 bc ae f5 00 00 00 00 |........&.......| +000000e0 00 00 00 00 00 00 00 00 00 00 00 00 17 c6 aa 3c |...............<| +000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000100 7b 20 cd e6 00 00 00 00 00 00 00 00 00 00 00 00 |{ ..............| +00000110 00 00 00 00 ef 0c ed ec 00 00 00 00 00 00 00 00 |................| +00000120 00 00 00 00 00 00 00 00 27 1a 48 ee 00 00 00 00 |........'.H.....| +00000130 00 00 00 00 00 00 00 00 00 00 00 00 30 4f 70 85 |............0Op.| +00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000150 1e d1 ec e6 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000160 00 00 00 00 f8 8f c1 6f 00 00 00 00 00 00 00 00 |.......o........| +00000170 00 00 00 00 00 00 00 00 ad be 81 74 00 00 00 00 |...........t....| +00000180 00 00 00 00 00 00 00 00 00 00 00 00 6f 49 e3 a4 |............oI..| +00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001a0 55 72 a6 e2 00 00 00 00 00 00 00 00 00 00 00 00 |Ur..............| +000001b0 00 00 00 00 e5 4f 0b 85 00 00 00 00 00 00 00 00 |.....O..........| +000001c0 00 00 00 00 00 00 00 00 dd 9f 36 df 00 00 00 00 |..........6.....| +000001d0 00 00 00 00 00 00 00 00 00 00 00 00 2b 23 0e 11 |............+#..| +000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001f0 fe fe 49 31 00 00 00 00 00 00 00 00 00 00 00 00 |..I1............| +00000200 00 00 00 00 45 58 d2 09 00 00 00 00 00 00 00 00 |....EX..........| +00000210 00 00 00 00 00 00 00 00 95 e4 d4 06 00 00 00 00 |................| +00000220 00 00 00 00 00 00 00 00 00 00 00 00 c4 48 cc 8d |.............H..| +00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000240 76 c5 08 c3 00 00 00 00 00 00 00 00 00 00 00 00 |v...............| +00000250 00 00 00 00 ea d5 a2 35 00 00 00 00 00 00 00 00 |.......5........| +00000260 00 00 00 00 00 00 00 00 d7 45 51 3f 00 00 00 00 |.........EQ?....| +00000270 00 00 00 00 00 00 00 00 00 00 00 00 b0 e3 b7 c9 |................| +00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000290 0a c5 a4 e4 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002a0 00 00 00 00 0d 07 40 7c 00 00 00 00 00 00 00 00 |......@|........| +000002b0 00 00 00 00 00 00 00 00 1c d4 5e e5 00 00 00 00 |..........^.....| +000002c0 00 00 00 00 00 00 00 00 00 00 00 00 7d cc 5e e8 |............}.^.| +000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002e0 27 23 8a 4a 00 00 00 00 00 00 00 00 00 00 00 00 |'#.J............| +000002f0 00 00 00 00 8b 94 08 8f 00 00 00 00 00 00 00 00 |................| +00000300 00 00 00 00 00 00 00 00 35 29 41 c5 00 00 00 00 |........5)A.....| +00000310 00 00 00 00 00 00 00 00 00 00 00 00 9e 49 ae 04 |.............I..| +00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000330 82 69 4c 86 00 00 00 00 00 00 00 00 00 00 00 00 |.iL.............| +00000340 00 00 00 00 66 a9 1f 4c 00 00 00 00 00 00 00 00 |....f..L........| +00000350 00 00 00 00 00 00 00 00 1f 88 01 9b 00 00 00 00 |................| +00000360 00 00 00 00 00 00 00 00 00 00 00 00 a3 28 54 ca |.............(T.| +00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000380 80 a1 13 31 00 00 00 00 00 00 00 00 00 00 00 00 |...1............| +00000390 00 00 00 00 13 72 4d 6f 00 00 00 00 00 00 00 00 |.....rMo........| +000003a0 00 00 00 00 00 00 00 00 04 1d ca 24 00 00 00 00 |...........$....| +000003b0 00 00 00 00 00 00 00 00 00 00 00 00 2d fc 97 63 |............-..c| +000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003d0 84 f6 ae 5d 00 00 00 00 00 00 00 00 00 00 00 00 |...]............| +000003e0 00 00 00 00 75 3d fb f0 00 00 00 00 00 00 00 00 |....u=..........| +000003f0 00 00 00 00 00 00 00 00 49 e3 64 91 00 00 00 00 |........I.d.....| +00000400 00 00 00 00 00 00 00 00 00 00 00 00 3d 64 e0 92 |............=d..| +00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000420 46 fe 50 94 00 00 00 00 00 00 00 00 00 00 00 00 |F.P.............| +00000430 00 00 00 00 4d d8 b6 58 00 00 00 00 00 00 00 00 |....M..X........| +00000440 00 00 00 00 00 00 00 00 d0 04 c2 a0 00 00 00 00 |................| +00000450 00 00 00 00 00 00 00 00 00 00 00 00 b5 9f 8b 33 |...............3| +00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000470 58 bf 9d 4f 00 00 00 00 00 00 00 00 00 00 00 00 |X..O............| +00000480 00 00 00 00 dc 2c 04 a1 00 00 00 00 00 00 00 00 |.....,..........| +00000490 00 00 00 00 00 00 00 00 0f 19 65 1a 00 00 00 00 |..........e.....| +000004a0 00 00 00 00 00 00 00 00 00 00 00 00 9c ae b3 52 |...............R| +000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004c0 af 31 fd 81 00 00 00 00 00 00 00 00 00 00 00 00 |.1..............| +000004d0 00 00 00 00 c4 93 92 5d 00 00 00 00 00 00 00 00 |.......]........| +000004e0 00 00 00 00 00 00 00 00 db 40 72 58 00 00 00 00 |.........@rX....| +000004f0 00 00 00 00 00 00 00 00 00 00 00 00 2a 88 e2 a3 |............*...| +00000500 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000510 2a 93 98 65 00 00 00 00 00 00 00 00 00 00 00 00 |*..e............| +00000520 00 00 00 00 37 7e 2b 5f 00 00 00 00 00 00 00 00 |....7~+_........| +00000530 00 00 00 00 00 00 00 00 5c f8 db 29 00 00 00 00 |........\..)....| +00000540 00 00 00 00 00 00 00 00 00 00 00 00 5a 24 87 53 |............Z$.S| +00000550 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000560 91 72 2a 9e 00 00 00 00 00 00 00 00 00 00 00 00 |.r*.............| +00000570 00 00 00 00 c0 62 ac c0 00 00 00 00 00 00 00 00 |.....b..........| +00000580 00 00 00 00 00 00 00 00 89 1d ab e2 00 00 00 00 |................| +00000590 00 00 00 00 00 00 00 00 00 00 00 00 3f 21 5c cc |............?!\.| +000005a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005b0 a5 ab 80 2b 00 00 00 00 00 00 00 00 00 00 00 00 |...+............| +000005c0 00 00 00 00 35 08 a9 0e 00 00 00 00 00 00 00 00 |....5...........| +000005d0 00 00 00 00 00 00 00 00 c9 db 55 1b 00 00 00 00 |..........U.....| +000005e0 00 00 00 00 00 00 00 00 00 00 00 00 9a ba 7b f5 |..............{.| +000005f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000600 32 8c 62 71 00 00 00 00 00 00 00 00 00 00 00 00 |2.bq............| +00000610 00 00 00 00 fa 73 f3 4a 00 00 00 00 00 00 00 00 |.....s.J........| +00000620 00 00 00 00 00 00 00 00 8e 8c 7b 25 00 00 00 00 |..........{%....| +00000630 00 00 00 00 00 00 00 00 00 00 00 00 6a ca c1 42 |............j..B| +00000640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000650 1f 61 a3 b0 00 00 00 00 00 00 00 00 00 00 00 00 |.a..............| +00000660 00 00 00 00 d6 78 1d 6a 00 00 00 00 00 00 00 00 |.....x.j........| +00000670 00 00 00 00 00 00 00 00 95 52 b2 af 00 00 00 00 |.........R......| +00000680 00 00 00 00 00 00 00 00 00 00 00 00 6e c3 9c d3 |............n...| +00000690 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006a0 0a 1b d9 9e 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006b0 00 00 00 00 f1 43 58 04 00 00 00 00 00 00 00 00 |.....CX.........| +000006c0 00 00 00 00 00 00 00 00 20 c0 46 0d 00 00 00 00 |........ .F.....| +000006d0 00 00 00 00 00 00 00 00 00 00 00 00 9e a8 6f eb |..............o.| +000006e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006f0 b9 05 ec bb 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000700 00 00 00 00 b9 c3 d4 e9 00 00 00 00 00 00 00 00 |................| +00000710 00 00 00 00 00 00 00 00 f4 8e 78 06 00 00 00 00 |..........x.....| +00000720 00 00 00 00 00 00 00 00 00 00 00 00 99 ba 21 7a |..............!z| +00000730 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000740 a8 50 80 99 00 00 00 00 00 00 00 00 00 00 00 00 |.P..............| +00000750 00 00 00 00 ae fd 07 2f 00 00 00 00 00 00 00 00 |......./........| +00000760 00 00 00 00 00 00 00 00 ac 81 ed 3c 00 00 00 00 |...........<....| +00000770 00 00 00 00 00 00 00 00 00 00 00 00 08 ee 26 21 |..............&!| +00000780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000790 b4 35 3d d0 00 00 00 00 00 00 00 00 00 00 00 00 |.5=.............| +000007a0 00 00 00 00 0e dd 0d 69 00 00 00 00 00 00 00 00 |.......i........| +000007b0 00 00 00 00 00 00 00 00 52 40 17 07 00 00 00 00 |........R@......| +000007c0 00 00 00 00 00 00 00 00 00 00 00 00 ee 00 a7 79 |...............y| +000007d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007e0 88 b3 f8 69 00 00 00 00 00 00 00 00 00 00 00 00 |...i............| +000007f0 00 00 00 00 55 d6 b4 95 00 00 00 00 00 00 00 00 |....U...........| +00000800 00 00 00 00 00 00 00 00 e5 01 d1 dc 00 00 00 00 |................| +00000810 00 00 00 00 00 00 00 00 00 00 00 00 9a 79 f5 e1 |.............y..| +00000820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000830 87 b0 1a 4c 00 00 00 00 00 00 00 00 00 00 00 00 |...L............| +00000840 00 00 00 00 f6 ed 9d 64 00 00 00 00 00 00 00 00 |.......d........| +00000850 00 00 00 00 00 00 00 00 23 bb 35 a0 00 00 00 00 |........#.5.....| +00000860 00 00 00 00 00 00 00 00 00 00 00 00 01 57 98 1c |.............W..| +00000870 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000880 f3 cc 9c 6e 00 00 00 00 00 00 00 00 00 00 00 00 |...n............| +00000890 00 00 00 00 e2 31 a7 c2 00 00 00 00 00 00 00 00 |.....1..........| +000008a0 00 00 00 00 00 00 00 00 b9 67 9f 51 00 00 00 00 |.........g.Q....| +000008b0 00 00 00 00 00 00 00 00 00 00 00 00 87 ca d1 96 |................| +000008c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008d0 a8 2a 9d f6 00 00 00 00 00 00 00 00 00 00 00 00 |.*..............| +000008e0 00 00 00 00 ac 5b 1e 5f 00 00 00 00 00 00 00 00 |.....[._........| +000008f0 00 00 00 00 00 00 00 00 56 88 06 8e 00 00 00 00 |........V.......| +00000900 00 00 00 00 00 00 00 00 00 00 00 00 7b 83 a4 7a |............{..z| +00000910 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000920 29 20 81 37 00 00 00 00 00 00 00 00 00 00 00 00 |) .7............| +00000930 00 00 00 00 1b d5 75 95 00 00 00 00 00 00 00 00 |......u.........| +00000940 00 00 00 00 00 00 00 00 4b 72 a2 95 00 00 00 00 |........Kr......| +00000950 00 00 00 00 00 00 00 00 00 00 00 00 9a 68 fd 88 |.............h..| +00000960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000970 74 40 38 35 00 00 00 00 00 00 00 00 00 00 00 00 |t@85............| +00000980 00 00 00 00 1f 79 91 84 00 00 00 00 00 00 00 00 |.....y..........| +00000990 00 00 00 00 00 00 00 00 e6 31 1c a0 00 00 00 00 |.........1......| +000009a0 00 00 00 00 00 00 00 00 00 00 00 00 cc cd b7 8d |................| +000009b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009c0 8e fd 3b a1 00 00 00 00 00 00 00 00 00 00 00 00 |..;.............| +000009d0 00 00 00 00 54 d7 86 74 00 00 00 00 00 00 00 00 |....T..t........| +000009e0 00 00 00 00 00 00 00 00 95 58 dc 7e 00 00 00 00 |.........X.~....| +000009f0 00 00 00 00 00 00 00 00 00 00 00 00 fb f8 9b dc |................| BRAM data (bank 1): 80 x 128 @ 0x00000000; 10240 bits = 1280 bytes try 1: -00000000 a8 a9 ff b7 00 00 00 00 00 00 41 d0 e2 c2 00 00 |..........A.....| -00000010 00 00 00 00 32 d5 2d f7 00 00 00 00 00 00 50 b1 |....2.-.......P.| -00000020 96 fa 00 00 00 00 00 00 ee 17 ce b0 00 00 00 00 |................| -00000030 00 00 77 c4 9a d1 00 00 00 00 00 00 76 94 c3 ce |..w.........v...| -00000040 00 00 00 00 00 00 21 ec 2d c8 00 00 00 00 00 00 |......!.-.......| -00000050 5d b2 6e b3 00 00 00 00 00 00 8b 95 95 e0 00 00 |].n.............| -00000060 00 00 00 00 c2 1b 04 a7 00 00 00 00 00 00 0a 45 |...............E| -00000070 89 78 00 00 00 00 00 00 5c 60 fb 8c 00 00 00 00 |.x......\`......| -00000080 00 00 77 e1 5a 83 00 00 00 00 00 00 3d 83 5d 95 |..w.Z.......=.].| -00000090 00 00 00 00 00 00 08 25 d8 09 00 00 00 00 00 00 |.......%........| -000000a0 b3 02 12 f1 00 00 00 00 00 00 1c 77 08 57 00 00 |...........w.W..| -000000b0 00 00 00 00 26 64 61 87 00 00 00 00 00 00 a9 b3 |....&da.........| -000000c0 5c 85 00 00 00 00 00 00 83 71 74 67 00 00 00 00 |\........qtg....| -000000d0 00 00 71 3a 23 b7 00 00 00 00 00 00 79 70 39 e3 |..q:#.......yp9.| -000000e0 00 00 00 00 00 00 e7 d6 e7 27 00 00 00 00 00 00 |.........'......| -000000f0 de 2a 48 98 00 00 00 00 00 00 1d ab e8 84 00 00 |.*H.............| -00000100 00 00 00 00 62 2e c2 62 00 00 00 00 00 00 92 76 |....b..b.......v| -00000110 53 01 00 00 00 00 00 00 bc fb 1b 76 00 00 00 00 |S..........v....| -00000120 00 00 94 8d 12 e8 00 00 00 00 00 00 2f bb 08 28 |............/..(| -00000130 00 00 00 00 00 00 2b 84 22 2e 00 00 00 00 00 00 |......+.".......| -00000140 9a 1f 56 e0 00 00 00 00 00 00 45 16 eb 3b 00 00 |..V.......E..;..| -00000150 00 00 00 00 30 d0 4e 56 00 00 00 00 00 00 16 2e |....0.NV........| -00000160 97 e9 00 00 00 00 00 00 69 30 bd b9 00 00 00 00 |........i0......| -00000170 00 00 c0 01 1d fa 00 00 00 00 00 00 08 86 e9 46 |...............F| -00000180 00 00 00 00 00 00 45 9e 89 6d 00 00 00 00 00 00 |......E..m......| -00000190 ed de fe 45 00 00 00 00 00 00 18 40 bd 13 00 00 |...E.......@....| -000001a0 00 00 00 00 cf 55 4a 6c 00 00 00 00 00 00 26 2d |.....UJl......&-| -000001b0 33 18 00 00 00 00 00 00 c6 22 97 9e 00 00 00 00 |3........"......| -000001c0 00 00 93 3c f7 b0 00 00 00 00 00 00 47 d1 f9 13 |...<........G...| -000001d0 00 00 00 00 00 00 78 f8 25 c9 00 00 00 00 00 00 |......x.%.......| -000001e0 8d 28 e4 90 00 00 00 00 00 00 2a 61 ca 33 00 00 |.(........*a.3..| -000001f0 00 00 00 00 7d 93 63 b8 00 00 00 00 00 00 dd 0b |....}.c.........| -00000200 eb c4 00 00 00 00 00 00 62 1c 75 a8 00 00 00 00 |........b.u.....| -00000210 00 00 3a ab 02 66 00 00 00 00 00 00 00 14 07 c6 |..:..f..........| -00000220 00 00 00 00 00 00 ee 3e 74 25 00 00 00 00 00 00 |.......>t%......| -00000230 ad 12 97 2f 00 00 00 00 00 00 31 6b f9 6a 00 00 |.../......1k.j..| -00000240 00 00 00 00 0d 31 e0 e7 00 00 00 00 00 00 ee 0e |.....1..........| -00000250 37 95 00 00 00 00 00 00 d9 b5 e5 4e 00 00 00 00 |7..........N....| -00000260 00 00 e5 7f 38 81 00 00 00 00 00 00 a8 09 5f 55 |....8........._U| -00000270 00 00 00 00 00 00 74 cf 31 c6 00 00 00 00 00 00 |......t.1.......| -00000280 14 f5 2e a0 00 00 00 00 00 00 1c ae 5f a7 00 00 |............_...| -00000290 00 00 00 00 fe 1c d3 88 00 00 00 00 00 00 0b bf |................| -000002a0 5f ca 00 00 00 00 00 00 ae ab 2b 2a 00 00 00 00 |_.........+*....| -000002b0 00 00 71 96 11 4c 00 00 00 00 00 00 87 e1 37 f8 |..q..L........7.| -000002c0 00 00 00 00 00 00 dc b3 60 64 00 00 00 00 00 00 |........`d......| -000002d0 1b a3 73 a8 00 00 00 00 00 00 7c 7b 5e 10 00 00 |..s.......|{^...| -000002e0 00 00 00 00 b3 3b a4 eb 00 00 00 00 00 00 97 dc |.....;..........| -000002f0 c6 31 00 00 00 00 00 00 cf 97 93 91 00 00 00 00 |.1..............| -00000300 00 00 e6 56 b6 39 00 00 00 00 00 00 17 1d a8 82 |...V.9..........| -00000310 00 00 00 00 00 00 8a f7 29 56 00 00 00 00 00 00 |........)V......| -00000320 3c 5c 97 1a 00 00 00 00 00 00 76 58 50 1c 00 00 |<\........vXP...| -00000330 00 00 00 00 4a bf eb 68 00 00 00 00 00 00 de 80 |....J..h........| -00000340 bc cf 00 00 00 00 00 00 dc 91 8e 22 00 00 00 00 |..........."....| -00000350 00 00 63 4b ea 53 00 00 00 00 00 00 d9 b6 ae 74 |..cK.S.........t| -00000360 00 00 00 00 00 00 8e e7 77 82 00 00 00 00 00 00 |........w.......| -00000370 a3 70 23 de 00 00 00 00 00 00 52 d2 53 2d 00 00 |.p#.......R.S-..| -00000380 00 00 00 00 ce fa 5f e8 00 00 00 00 00 00 99 db |......_.........| -00000390 be 9e 00 00 00 00 00 00 b1 53 da 85 00 00 00 00 |.........S......| -000003a0 00 00 82 e3 4d ab 00 00 00 00 00 00 60 14 36 b0 |....M.......`.6.| -000003b0 00 00 00 00 00 00 c7 9e 27 9b 00 00 00 00 00 00 |........'.......| -000003c0 2d 88 f9 e9 00 00 00 00 00 00 d4 f7 90 e0 00 00 |-...............| -000003d0 00 00 00 00 95 1d 49 81 00 00 00 00 00 00 d8 4b |......I........K| -000003e0 ea d9 00 00 00 00 00 00 18 27 e5 17 00 00 00 00 |.........'......| -000003f0 00 00 65 56 f1 9e 00 00 00 00 00 00 93 8b dd 03 |..eV............| -00000400 00 00 00 00 00 00 b5 0d 15 f5 00 00 00 00 00 00 |................| -00000410 87 3e a7 78 00 00 00 00 00 00 2f 21 a4 a1 00 00 |.>.x....../!....| -00000420 00 00 00 00 62 ab 1f 65 00 00 00 00 00 00 11 fd |....b..e........| -00000430 a8 32 00 00 00 00 00 00 9a e0 53 7c 00 00 00 00 |.2........S|....| -00000440 00 00 c8 7a 93 b1 00 00 00 00 00 00 b0 cc e2 5c |...z...........\| -00000450 00 00 00 00 00 00 53 7d 54 2e 00 00 00 00 00 00 |......S}T.......| -00000460 87 8e b9 23 00 00 00 00 00 00 65 12 9e 9a 00 00 |...#......e.....| -00000470 00 00 00 00 27 87 d0 24 00 00 00 00 00 00 04 67 |....'..$.......g| -00000480 82 05 00 00 00 00 00 00 75 27 eb c1 00 00 00 00 |........u'......| -00000490 00 00 fb 36 41 0f 00 00 00 00 00 00 c1 66 f1 47 |...6A........f.G| -000004a0 00 00 00 00 00 00 ac c7 8e d4 00 00 00 00 00 00 |................| -000004b0 32 27 79 7c 00 00 00 00 00 00 08 9b c7 11 00 00 |2'y|............| -000004c0 00 00 00 00 bb 5d 67 24 00 00 00 00 00 00 d9 e7 |.....]g$........| -000004d0 a9 0b 00 00 00 00 00 00 cf 9d 23 c6 00 00 00 00 |..........#.....| -000004e0 00 00 9b bb c6 a2 00 00 00 00 00 00 76 53 a0 87 |............vS..| -000004f0 00 00 00 00 00 00 f5 ca 1b 43 00 00 00 00 00 00 |.........C......| +00000000 d2 f9 ab 5f 00 00 00 00 00 00 08 9c 0c ca 00 00 |..._............| +00000010 00 00 00 00 09 b0 42 c7 00 00 00 00 00 00 92 09 |......B.........| +00000020 32 8a 00 00 00 00 00 00 31 81 e8 f8 00 00 00 00 |2.......1.......| +00000030 00 00 84 27 12 d9 00 00 00 00 00 00 49 b7 0b 5e |...'........I..^| +00000040 00 00 00 00 00 00 ea 24 b8 84 00 00 00 00 00 00 |.......$........| +00000050 3b 9c 38 cb 00 00 00 00 00 00 c8 0a 95 64 00 00 |;.8..........d..| +00000060 00 00 00 00 77 ad 9a 13 00 00 00 00 00 00 b5 89 |....w...........| +00000070 5c e4 00 00 00 00 00 00 05 2c 42 7c 00 00 00 00 |\........,B|....| +00000080 00 00 bd c6 af 5b 00 00 00 00 00 00 63 7e 34 05 |.....[......c~4.| +00000090 00 00 00 00 00 00 c2 48 dc 11 00 00 00 00 00 00 |.......H........| +000000a0 9d fc 45 69 00 00 00 00 00 00 8d 20 8b 73 00 00 |..Ei....... .s..| +000000b0 00 00 00 00 18 02 fd 87 00 00 00 00 00 00 cd cf |................| +000000c0 86 21 00 00 00 00 00 00 17 d8 11 c3 00 00 00 00 |.!..............| +000000d0 00 00 f6 c6 58 ff 00 00 00 00 00 00 b4 14 be 07 |....X...........| +000000e0 00 00 00 00 00 00 75 8f 70 ff 00 00 00 00 00 00 |......u.p.......| +000000f0 9c bf 71 c0 00 00 00 00 00 00 58 3a c2 60 00 00 |..q.......X:.`..| +00000100 00 00 00 00 b7 ba fb ea 00 00 00 00 00 00 a8 3b |...............;| +00000110 27 4d 00 00 00 00 00 00 ee b9 cf 0e 00 00 00 00 |'M..............| +00000120 00 00 53 f0 08 48 00 00 00 00 00 00 81 7f 1e 60 |..S..H.........`| +00000130 00 00 00 00 00 00 01 2b bf 8a 00 00 00 00 00 00 |.......+........| +00000140 47 ec c3 f8 00 00 00 00 00 00 31 23 01 5f 00 00 |G.........1#._..| +00000150 00 00 00 00 59 fa f9 fa 00 00 00 00 00 00 6c 43 |....Y.........lC| +00000160 24 fd 00 00 00 00 00 00 c2 5f 26 35 00 00 00 00 |$........_&5....| +00000170 00 00 8c ed 94 f6 00 00 00 00 00 00 75 c7 3b b6 |............u.;.| +00000180 00 00 00 00 00 00 39 fd 5d b5 00 00 00 00 00 00 |......9.].......| +00000190 fd b7 83 99 00 00 00 00 00 00 0f 51 19 b7 00 00 |...........Q....| +000001a0 00 00 00 00 62 42 18 88 00 00 00 00 00 00 7f 73 |....bB.........s| +000001b0 c2 5c 00 00 00 00 00 00 55 3c 96 ee 00 00 00 00 |.\......U<......| +000001c0 00 00 99 a6 9c cc 00 00 00 00 00 00 6d 78 a7 a7 |............mx..| +000001d0 00 00 00 00 00 00 9c 5b 70 75 00 00 00 00 00 00 |.......[pu......| +000001e0 33 d8 8c 60 00 00 00 00 00 00 54 56 47 eb 00 00 |3..`......TVG...| +000001f0 00 00 00 00 98 87 5c 2c 00 00 00 00 00 00 b5 8a |......\,........| +00000200 2a ac 00 00 00 00 00 00 05 fa 1e d4 00 00 00 00 |*...............| +00000210 00 00 36 5e 08 ca 00 00 00 00 00 00 34 e8 ad fe |..6^........4...| +00000220 00 00 00 00 00 00 1b 70 6d 21 00 00 00 00 00 00 |.......pm!......| +00000230 43 e4 cf 5f 00 00 00 00 00 00 55 a9 30 96 00 00 |C.._......U.0...| +00000240 00 00 00 00 fb 30 ce c3 00 00 00 00 00 00 5b b4 |.....0........[.| +00000250 98 9d 00 00 00 00 00 00 4f 95 3b 46 00 00 00 00 |........O.;F....| +00000260 00 00 29 0a 70 01 00 00 00 00 00 00 5e e5 ad 4d |..).p.......^..M| +00000270 00 00 00 00 00 00 19 43 f3 86 00 00 00 00 00 00 |.......C........| +00000280 3e d4 5e 68 00 00 00 00 00 00 88 e5 85 2f 00 00 |>.^h........./..| +00000290 00 00 00 00 19 60 e4 ec 00 00 00 00 00 00 74 46 |.....`........tF| +000002a0 01 be 00 00 00 00 00 00 72 f9 23 7e 00 00 00 00 |........r.#~....| +000002b0 00 00 14 02 08 44 00 00 00 00 00 00 f9 fc 9a ac |.....D..........| +000002c0 00 00 00 00 00 00 45 5e b1 90 00 00 00 00 00 00 |......E^........| +000002d0 87 6f cd 7c 00 00 00 00 00 00 2b fd b7 28 00 00 |.o.|......+..(..| +000002e0 00 00 00 00 26 5e 73 f3 00 00 00 00 00 00 c2 8a |....&^s.........| +000002f0 be 99 00 00 00 00 00 00 4e b8 14 fd 00 00 00 00 |........N.......| +00000300 00 00 85 aa bb f9 00 00 00 00 00 00 be aa 67 02 |..............g.| +00000310 00 00 00 00 00 00 bd 89 39 b6 00 00 00 00 00 00 |........9.......| +00000320 37 59 d9 0e 00 00 00 00 00 00 6c 76 9e 60 00 00 |7Y........lv.`..| +00000330 00 00 00 00 de f6 16 4c 00 00 00 00 00 00 c6 ee |.......L........| +00000340 ce 93 00 00 00 00 00 00 85 af 3a 0a 00 00 00 00 |..........:.....| +00000350 00 00 ee 5b 0f ab 00 00 00 00 00 00 fb 80 e6 d8 |...[............| +00000360 00 00 00 00 00 00 17 c3 d9 8e 00 00 00 00 00 00 |................| +00000370 94 cf e0 fe 00 00 00 00 00 00 fd d9 c1 9d 00 00 |................| +00000380 00 00 00 00 0b 23 9e dc 00 00 00 00 00 00 1c 9d |.....#..........| +00000390 e4 9a 00 00 00 00 00 00 30 fc aa 99 00 00 00 00 |........0.......| +000003a0 00 00 e7 b0 f9 17 00 00 00 00 00 00 e6 01 87 e8 |................| +000003b0 00 00 00 00 00 00 9d bd 65 2f 00 00 00 00 00 00 |........e/......| +000003c0 d1 ba 84 b5 00 00 00 00 00 00 f0 b2 94 60 00 00 |.............`..| +000003d0 00 00 00 00 11 09 41 f5 00 00 00 00 00 00 53 36 |......A.......S6| +000003e0 b2 f9 00 00 00 00 00 00 e1 47 5f b7 00 00 00 00 |.........G_.....| +000003f0 00 00 00 77 5d e6 00 00 00 00 00 00 d1 3e 88 f7 |...w]........>..| +00000400 00 00 00 00 00 00 ff 7f 28 95 00 00 00 00 00 00 |........(.......| +00000410 a7 5c d6 fc 00 00 00 00 00 00 b9 d5 2b 71 00 00 |.\..........+q..| +00000420 00 00 00 00 17 4a b2 ed 00 00 00 00 00 00 d6 3e |.....J.........>| +00000430 95 22 00 00 00 00 00 00 26 c2 52 2c 00 00 00 00 |."......&.R,....| +00000440 00 00 2a e4 33 ed 00 00 00 00 00 00 7d ba 06 98 |..*.3.......}...| +00000450 00 00 00 00 00 00 07 b5 58 e2 00 00 00 00 00 00 |........X.......| +00000460 13 66 16 c7 00 00 00 00 00 00 b4 98 a9 6a 00 00 |.f...........j..| +00000470 00 00 00 00 4b 97 4a b0 00 00 00 00 00 00 93 dd |....K.J.........| +00000480 22 69 00 00 00 00 00 00 23 d8 47 4d 00 00 00 00 |"i......#.GM....| +00000490 00 00 32 0d f4 77 00 00 00 00 00 00 18 fe fa 77 |..2..w.........w| +000004a0 00 00 00 00 00 00 99 bc d7 f8 00 00 00 00 00 00 |................| +000004b0 f8 63 f9 e4 00 00 00 00 00 00 70 a5 94 bd 00 00 |.c........p.....| +000004c0 00 00 00 00 90 96 fb 6c 00 00 00 00 00 00 2a 10 |.......l......*.| +000004d0 30 67 00 00 00 00 00 00 9d 35 d7 1e 00 00 00 00 |0g.......5......| +000004e0 00 00 30 0a 08 ca 00 00 00 00 00 00 de 95 95 c3 |..0.............| +000004f0 00 00 00 00 00 00 79 e4 62 6f 00 00 00 00 00 00 |......y.bo......| BRAM data (bank 1): 80 x 128 @ 0x00000080; 10240 bits = 1280 bytes try 1: -00000000 c4 d4 21 71 00 00 00 00 00 00 13 d6 fb 1e 00 00 |..!q............| -00000010 00 00 00 00 d3 4c c4 c3 00 00 00 00 00 00 9c b5 |.....L..........| -00000020 24 a7 00 00 00 00 00 00 b4 f4 c9 fb 00 00 00 00 |$...............| -00000030 00 00 96 c1 75 4c 00 00 00 00 00 00 c2 cf cb 38 |....uL.........8| -00000040 00 00 00 00 00 00 be 9c 6a 9a 00 00 00 00 00 00 |........j.......| -00000050 c2 8a 99 2c 00 00 00 00 00 00 16 80 87 87 00 00 |...,............| -00000060 00 00 00 00 cb 16 e4 60 00 00 00 00 00 00 9a 62 |.......`.......b| -00000070 0a 5e 00 00 00 00 00 00 e7 b1 9c e4 00 00 00 00 |.^..............| -00000080 00 00 83 95 cc 63 00 00 00 00 00 00 02 40 3b 45 |.....c.......@;E| -00000090 00 00 00 00 00 00 c4 d8 ab f5 00 00 00 00 00 00 |................| -000000a0 47 5c fe 43 00 00 00 00 00 00 c8 69 1a 58 00 00 |G\.C.......i.X..| -000000b0 00 00 00 00 48 35 c9 4f 00 00 00 00 00 00 f4 4f |....H5.O.......O| -000000c0 8a cf 00 00 00 00 00 00 69 76 34 b0 00 00 00 00 |........iv4.....| -000000d0 00 00 56 9b aa 67 00 00 00 00 00 00 e5 26 22 c2 |..V..g.......&".| -000000e0 00 00 00 00 00 00 c7 fe d3 05 00 00 00 00 00 00 |................| -000000f0 ca 3d 30 7b 00 00 00 00 00 00 84 72 a1 e9 00 00 |.=0{.......r....| -00000100 00 00 00 00 99 34 21 a2 00 00 00 00 00 00 5f 88 |.....4!......._.| -00000110 47 96 00 00 00 00 00 00 f6 cc c1 39 00 00 00 00 |G..........9....| -00000120 00 00 84 1a cd 3f 00 00 00 00 00 00 80 05 63 1f |.....?........c.| -00000130 00 00 00 00 00 00 b9 b7 d7 9b 00 00 00 00 00 00 |................| -00000140 c5 98 15 b2 00 00 00 00 00 00 e8 7c 80 02 00 00 |...........|....| -00000150 00 00 00 00 e7 3e 48 9a 00 00 00 00 00 00 fb de |.....>H.........| -00000160 39 eb 00 00 00 00 00 00 56 9a 19 55 00 00 00 00 |9.......V..U....| -00000170 00 00 e2 a0 23 c2 00 00 00 00 00 00 18 7d 85 6a |....#........}.j| -00000180 00 00 00 00 00 00 9b 56 9e 55 00 00 00 00 00 00 |.......V.U......| -00000190 e7 80 5c 90 00 00 00 00 00 00 c3 4f 06 e3 00 00 |..\........O....| -000001a0 00 00 00 00 24 89 ed 7f 00 00 00 00 00 00 3d b8 |....$.........=.| -000001b0 6b 74 00 00 00 00 00 00 78 73 1d 0f 00 00 00 00 |kt......xs......| -000001c0 00 00 7b 31 78 fc 00 00 00 00 00 00 4e 6e 2d 01 |..{1x.......Nn-.| -000001d0 00 00 00 00 00 00 3d ff 9c ae 00 00 00 00 00 00 |......=.........| -000001e0 2b 26 1b 52 00 00 00 00 00 00 9a df 5d e5 00 00 |+&.R........]...| -000001f0 00 00 00 00 c1 44 a4 2e 00 00 00 00 00 00 f8 20 |.....D......... | -00000200 8d b8 00 00 00 00 00 00 30 48 1b 9b 00 00 00 00 |........0H......| -00000210 00 00 91 06 e5 af 00 00 00 00 00 00 ec 06 a7 09 |................| -00000220 00 00 00 00 00 00 16 77 69 42 00 00 00 00 00 00 |.......wiB......| -00000230 17 bd ea 8a 00 00 00 00 00 00 51 f4 0c e9 00 00 |..........Q.....| -00000240 00 00 00 00 e9 0d 95 47 00 00 00 00 00 00 a8 5f |.......G......._| -00000250 35 fd 00 00 00 00 00 00 df 95 33 d8 00 00 00 00 |5.........3.....| -00000260 00 00 14 82 ca 58 00 00 00 00 00 00 98 f2 11 01 |.....X..........| -00000270 00 00 00 00 00 00 7c ee ad 79 00 00 00 00 00 00 |......|..y......| -00000280 12 ec 51 95 00 00 00 00 00 00 82 07 64 5e 00 00 |..Q.........d^..| -00000290 00 00 00 00 ca 83 3b ec 00 00 00 00 00 00 1d 7b |......;........{| -000002a0 8c f3 00 00 00 00 00 00 62 66 cf 88 00 00 00 00 |........bf......| -000002b0 00 00 db 80 1f ec 00 00 00 00 00 00 3a 3b 59 3c |............:;Y<| -000002c0 00 00 00 00 00 00 43 1c 2a 5f 00 00 00 00 00 00 |......C.*_......| -000002d0 38 04 cb 8b 00 00 00 00 00 00 ae 85 3f f0 00 00 |8...........?...| -000002e0 00 00 00 00 01 dd 6d c0 00 00 00 00 00 00 4a 4f |......m.......JO| -000002f0 80 c4 00 00 00 00 00 00 0c 72 47 4d 00 00 00 00 |.........rGM....| -00000300 00 00 d8 6b 20 26 00 00 00 00 00 00 1e cb 0d f8 |...k &..........| -00000310 00 00 00 00 00 00 70 31 fd 52 00 00 00 00 00 00 |......p1.R......| -00000320 64 03 5f 8f 00 00 00 00 00 00 8b 85 46 bd 00 00 |d._.........F...| -00000330 00 00 00 00 54 92 16 9f 00 00 00 00 00 00 a3 c0 |....T...........| -00000340 d4 77 00 00 00 00 00 00 5e 81 cb 2a 00 00 00 00 |.w......^..*....| -00000350 00 00 a6 28 f7 18 00 00 00 00 00 00 21 56 c7 97 |...(........!V..| -00000360 00 00 00 00 00 00 3b 77 a0 c0 00 00 00 00 00 00 |......;w........| -00000370 af 44 18 7c 00 00 00 00 00 00 6d e8 6e fb 00 00 |.D.|......m.n...| -00000380 00 00 00 00 be c8 60 42 00 00 00 00 00 00 68 25 |......`B......h%| -00000390 6f d6 00 00 00 00 00 00 f4 c8 e8 b4 00 00 00 00 |o...............| -000003a0 00 00 f9 47 13 38 00 00 00 00 00 00 27 65 6d c0 |...G.8......'em.| -000003b0 00 00 00 00 00 00 45 02 32 c7 00 00 00 00 00 00 |......E.2.......| -000003c0 9b 3d f8 af 00 00 00 00 00 00 ab dd 03 c6 00 00 |.=..............| -000003d0 00 00 00 00 f5 c3 bc ce 00 00 00 00 00 00 c1 6b |...............k| -000003e0 49 76 00 00 00 00 00 00 72 e8 e2 2c 00 00 00 00 |Iv......r..,....| -000003f0 00 00 11 d0 b9 45 00 00 00 00 00 00 6c 15 ae d0 |.....E......l...| -00000400 00 00 00 00 00 00 31 95 b4 95 00 00 00 00 00 00 |......1.........| -00000410 72 5e 45 48 00 00 00 00 00 00 73 01 89 78 00 00 |r^EH......s..x..| -00000420 00 00 00 00 ed 81 de d5 00 00 00 00 00 00 5e d0 |..............^.| -00000430 44 85 00 00 00 00 00 00 0b cc 9d 4f 00 00 00 00 |D..........O....| -00000440 00 00 1d 17 63 ac 00 00 00 00 00 00 fb 8b 1c c4 |....c...........| -00000450 00 00 00 00 00 00 a8 91 89 50 00 00 00 00 00 00 |.........P......| -00000460 b7 05 29 14 00 00 00 00 00 00 4b c6 13 ec 00 00 |..).......K.....| -00000470 00 00 00 00 11 aa 9b 33 00 00 00 00 00 00 3d 00 |.......3......=.| -00000480 02 b3 00 00 00 00 00 00 30 a5 36 ab 00 00 00 00 |........0.6.....| -00000490 00 00 1d f9 2e 8a 00 00 00 00 00 00 20 9a 02 a2 |............ ...| -000004a0 00 00 00 00 00 00 43 45 46 96 00 00 00 00 00 00 |......CEF.......| -000004b0 42 e4 95 50 00 00 00 00 00 00 84 6d b8 5c 00 00 |B..P.......m.\..| -000004c0 00 00 00 00 fb 30 cb c5 00 00 00 00 00 00 b1 a7 |.....0..........| -000004d0 41 9f 00 00 00 00 00 00 a2 5b d1 99 00 00 00 00 |A........[......| -000004e0 00 00 01 2a e8 57 00 00 00 00 00 00 73 18 52 dc |...*.W......s.R.| -000004f0 00 00 00 00 00 00 8e e7 81 17 00 00 00 00 00 00 |................| +00000000 70 23 ab a5 00 00 00 00 00 00 24 b8 89 ae 00 00 |p#........$.....| +00000010 00 00 00 00 42 c8 d8 23 00 00 00 00 00 00 da e2 |....B..#........| +00000020 39 73 00 00 00 00 00 00 7c 27 cc 37 00 00 00 00 |9s......|'.7....| +00000030 00 00 0c 03 b3 64 00 00 00 00 00 00 7c 71 97 0c |.....d......|q..| +00000040 00 00 00 00 00 00 38 eb 94 ba 00 00 00 00 00 00 |......8.........| +00000050 36 c9 87 64 00 00 00 00 00 00 a2 43 5d 2f 00 00 |6..d.......C]/..| +00000060 00 00 00 00 49 f8 cd 30 00 00 00 00 00 00 37 13 |....I..0......7.| +00000070 73 4a 00 00 00 00 00 00 5f 35 4f f0 00 00 00 00 |sJ......_5O.....| +00000080 00 00 4e 77 7d 03 00 00 00 00 00 00 41 04 22 ed |..Nw}.......A.".| +00000090 00 00 00 00 00 00 3c c9 21 5d 00 00 00 00 00 00 |......<.!]......| +000000a0 d0 93 97 cb 00 00 00 00 00 00 51 39 e8 98 00 00 |..........Q9....| +000000b0 00 00 00 00 ab d0 0f b7 00 00 00 00 00 00 87 e7 |................| +000000c0 6d eb 00 00 00 00 00 00 5f 68 6b d0 00 00 00 00 |m......._hk.....| +000000d0 00 00 00 23 0e cb 00 00 00 00 00 00 e0 90 ec 7a |...#...........z| +000000e0 00 00 00 00 00 00 d8 c5 da 1d 00 00 00 00 00 00 |................| +000000f0 3f fb f1 d3 00 00 00 00 00 00 72 22 09 c5 00 00 |?.........r"....| +00000100 00 00 00 00 e4 a4 c1 26 00 00 00 00 00 00 0c 10 |.......&........| +00000110 f5 6e 00 00 00 00 00 00 74 a1 43 65 00 00 00 00 |.n......t.Ce....| +00000120 00 00 57 e9 49 d7 00 00 00 00 00 00 bf 4e b9 3f |..W.I........N.?| +00000130 00 00 00 00 00 00 7c 51 a9 af 00 00 00 00 00 00 |......|Q........| +00000140 b8 2d d1 96 00 00 00 00 00 00 bb 28 65 12 00 00 |.-.........(e...| +00000150 00 00 00 00 3d 4a 38 32 00 00 00 00 00 00 cc 5f |....=J82......._| +00000160 69 37 00 00 00 00 00 00 fa c7 e7 85 00 00 00 00 |i7..............| +00000170 00 00 f2 3c bd be 00 00 00 00 00 00 e2 a7 69 e6 |...<..........i.| +00000180 00 00 00 00 00 00 64 53 b3 49 00 00 00 00 00 00 |......dS.I......| +00000190 da 63 d9 40 00 00 00 00 00 00 7d 7e 2e 9b 00 00 |.c.@......}~....| +000001a0 00 00 00 00 99 d3 41 37 00 00 00 00 00 00 65 cc |......A7......e.| +000001b0 f9 3c 00 00 00 00 00 00 59 28 ab 57 00 00 00 00 |.<......Y(.W....| +000001c0 00 00 de 3b 81 80 00 00 00 00 00 00 3b f8 84 f5 |...;........;...| +000001d0 00 00 00 00 00 00 98 f4 7b 92 00 00 00 00 00 00 |........{.......| +000001e0 be bf 42 0e 00 00 00 00 00 00 ba c1 04 55 00 00 |..B..........U..| +000001f0 00 00 00 00 88 19 d2 92 00 00 00 00 00 00 27 85 |..............'.| +00000200 02 e4 00 00 00 00 00 00 e2 94 83 0f 00 00 00 00 |................| +00000210 00 00 2e 20 94 27 00 00 00 00 00 00 23 19 ca 0d |... .'......#...| +00000220 00 00 00 00 00 00 4d aa e3 b6 00 00 00 00 00 00 |......M.........| +00000230 5c a8 9c 8a 00 00 00 00 00 00 52 51 0d e1 00 00 |\.........RQ....| +00000240 00 00 00 00 d3 3e 98 07 00 00 00 00 00 00 e8 3d |.....>.........=| +00000250 66 45 00 00 00 00 00 00 bb 10 78 2c 00 00 00 00 |fE........x,....| +00000260 00 00 80 3e 91 88 00 00 00 00 00 00 7f 59 68 b5 |...>.........Yh.| +00000270 00 00 00 00 00 00 98 e0 0e d5 00 00 00 00 00 00 |................| +00000280 93 be 6b d5 00 00 00 00 00 00 d3 c6 99 b2 00 00 |..k.............| +00000290 00 00 00 00 75 c5 21 6c 00 00 00 00 00 00 83 0e |....u.!l........| +000002a0 66 13 00 00 00 00 00 00 53 e8 4c 3c 00 00 00 00 |f.......S.L<....| +000002b0 00 00 9f c7 38 6c 00 00 00 00 00 00 ad 96 3c f4 |....8l........<.| +000002c0 00 00 00 00 00 00 93 ba b8 4b 00 00 00 00 00 00 |.........K......| +000002d0 67 d0 59 0f 00 00 00 00 00 00 b5 79 c6 8c 00 00 |g.Y........y....| +000002e0 00 00 00 00 c3 5d 2a d4 00 00 00 00 00 00 4d 0b |.....]*.......M.| +000002f0 bb 80 00 00 00 00 00 00 a0 0d 14 ad 00 00 00 00 |................| +00000300 00 00 9b 87 e6 b2 00 00 00 00 00 00 34 61 cd 44 |............4a.D| +00000310 00 00 00 00 00 00 49 67 af e6 00 00 00 00 00 00 |......Ig........| +00000320 f8 b5 a6 bf 00 00 00 00 00 00 31 20 b8 59 00 00 |..........1 .Y..| +00000330 00 00 00 00 15 d9 04 3b 00 00 00 00 00 00 f6 9c |.......;........| +00000340 55 13 00 00 00 00 00 00 d3 e5 08 ce 00 00 00 00 |U...............| +00000350 00 00 b6 67 a7 ec 00 00 00 00 00 00 b2 2b ab bf |...g.........+..| +00000360 00 00 00 00 00 00 15 cb 86 10 00 00 00 00 00 00 |................| +00000370 16 8a c2 10 00 00 00 00 00 00 78 1a 95 eb 00 00 |..........x.....| +00000380 00 00 00 00 7a bd 67 c2 00 00 00 00 00 00 ca fc |....z.g.........| +00000390 b0 5e 00 00 00 00 00 00 51 58 64 30 00 00 00 00 |.^......QXd0....| +000003a0 00 00 f6 43 a7 2c 00 00 00 00 00 00 27 9f 73 44 |...C.,......'.sD| +000003b0 00 00 00 00 00 00 8e 33 33 2b 00 00 00 00 00 00 |.......33+......| +000003c0 2d ca 9c 73 00 00 00 00 00 00 48 f0 bf 7e 00 00 |-..s......H..~..| +000003d0 00 00 00 00 a1 1f e0 b2 00 00 00 00 00 00 59 61 |..............Ya| +000003e0 62 16 00 00 00 00 00 00 41 ce c5 88 00 00 00 00 |b.......A.......| +000003f0 00 00 c1 94 98 05 00 00 00 00 00 00 e9 0c 89 d8 |................| +00000400 00 00 00 00 00 00 c4 5d 53 71 00 00 00 00 00 00 |.......]Sq......| +00000410 57 31 a8 a4 00 00 00 00 00 00 a6 31 a6 44 00 00 |W1.........1.D..| +00000420 00 00 00 00 ab 4a 56 f9 00 00 00 00 00 00 c8 fa |.....JV.........| +00000430 c3 b1 00 00 00 00 00 00 37 34 d8 a7 00 00 00 00 |........74......| +00000440 00 00 86 da f8 ec 00 00 00 00 00 00 85 c7 01 90 |................| +00000450 00 00 00 00 00 00 97 73 42 34 00 00 00 00 00 00 |.......sB4......| +00000460 8d f3 b2 d4 00 00 00 00 00 00 7d 3c 95 fc 00 00 |..........}<....| +00000470 00 00 00 00 8f 10 96 5f 00 00 00 00 00 00 ae 1b |......._........| +00000480 64 db 00 00 00 00 00 00 d9 df 89 0b 00 00 00 00 |d...............| +00000490 00 00 75 52 7d 9a 00 00 00 00 00 00 e2 6e 4c ca |..uR}........nL.| +000004a0 00 00 00 00 00 00 b9 13 b0 da 00 00 00 00 00 00 |................| +000004b0 58 93 cc 34 00 00 00 00 00 00 0f fd cb d0 00 00 |X..4............| +000004c0 00 00 00 00 e7 e2 c6 4d 00 00 00 00 00 00 cf 83 |.......M........| +000004d0 59 c7 00 00 00 00 00 00 2b 27 e1 95 00 00 00 00 |Y.......+'......| +000004e0 00 00 0a 4a 7e a3 00 00 00 00 00 00 28 2e f5 88 |...J~.......(...| +000004f0 00 00 00 00 00 00 c9 5f 0a c7 00 00 00 00 00 00 |......._........| BRAM data (bank 2): 160 x 128 @ 0x00000000; 20480 bits = 2560 bytes try 1: -00000000 00 00 00 00 00 00 00 00 fa 84 e2 8f 99 7b 8f 14 |.............{..| -00000010 0c 63 84 a3 00 00 00 00 00 00 00 00 ed 19 c3 d8 |.c..............| -00000020 0f 89 a7 25 12 c3 19 9c 00 00 00 00 00 00 00 00 |...%............| -00000030 0b 9b 10 06 e3 33 bb 79 f8 cc ca 76 00 00 00 00 |.....3.y...v....| -00000040 00 00 00 00 04 4a 3c 4e 07 bd e0 99 aa 2f 8a 06 |.....J..q.........]..| -00000160 c5 75 81 77 fb 9f 35 a1 00 00 00 00 00 00 00 00 |.u.w..5.........| -00000170 07 94 ab 05 e2 ca 38 2d 59 fd 45 62 00 00 00 00 |......8-Y.Eb....| -00000180 00 00 00 00 84 a4 d9 66 64 62 ff 72 9a 6e d6 50 |.......fdb.r.n.P| -00000190 00 00 00 00 00 00 00 00 6d b9 e4 bd 64 07 bf ee |........m...d...| -000001a0 43 55 58 51 00 00 00 00 00 00 00 00 44 b7 5a 10 |CUXQ........D.Z.| -000001b0 18 04 3c 4e 54 19 66 4b 00 00 00 00 00 00 00 00 |..| -00000280 00 00 00 00 00 00 00 00 40 9e 7c 9b df 83 64 fa |........@.|...d.| -00000290 f7 0e 79 46 00 00 00 00 00 00 00 00 6c 0f 68 01 |..yF........l.h.| -000002a0 3c 98 40 a1 91 24 da 65 00 00 00 00 00 00 00 00 |<.@..$.e........| -000002b0 12 82 ca ad 9d a1 75 b9 62 ca 41 d3 00 00 00 00 |......u.b.A.....| -000002c0 00 00 00 00 5c 74 69 0c 45 6a 0e 58 e9 67 92 e2 |....\ti.Ej.X.g..| -000002d0 00 00 00 00 00 00 00 00 55 01 21 16 bf e1 23 16 |........U.!...#.| -000002e0 91 86 6e 49 00 00 00 00 00 00 00 00 cc 85 65 70 |..nI..........ep| -000002f0 0f b7 7c a6 44 62 fe 5d 00 00 00 00 00 00 00 00 |..|.Db.]........| -00000300 7c e3 b2 57 09 b5 85 f9 9e a4 6b e2 00 00 00 00 ||..W......k.....| -00000310 00 00 00 00 85 c2 93 ad 7d c5 b2 0c 7e 13 bc 1b |........}...~...| -00000320 00 00 00 00 00 00 00 00 29 60 0c 7f 50 f3 0e e0 |........)`..P...| -00000330 56 f5 ab 95 00 00 00 00 00 00 00 00 a2 e2 a6 dd |V...............| -00000340 bc 2e 39 58 38 9e 40 d8 00 00 00 00 00 00 00 00 |..9X8.@.........| -00000350 b8 a8 4a cc b8 b7 ff 06 60 44 2e ba 00 00 00 00 |..J.....`D......| -00000360 00 00 00 00 f8 bf 24 7e 7c 48 51 d8 97 e1 37 b6 |......$~|HQ...7.| -00000370 00 00 00 00 00 00 00 00 6c 48 d9 42 b0 0e bc 85 |........lH.B....| -00000380 e5 7b f9 62 00 00 00 00 00 00 00 00 17 8b c3 b4 |.{.b............| -00000390 b6 d9 39 5f 19 01 10 f9 00 00 00 00 00 00 00 00 |..9_............| -000003a0 e1 04 dd 3b 07 cc 02 a7 26 70 ab 35 00 00 00 00 |...;....&p.5....| -000003b0 00 00 00 00 05 dd ec 5c a7 67 30 c3 00 48 f0 f2 |.......\.g0..H..| -000003c0 00 00 00 00 00 00 00 00 af 0b ef 0c 17 8f 18 7c |...............|| -000003d0 af 35 25 78 00 00 00 00 00 00 00 00 a4 d2 55 2f |.5%x..........U/| -000003e0 4d fe 77 ef 28 1b 66 fd 00 00 00 00 00 00 00 00 |M.w.(.f.........| -000003f0 98 12 e7 e4 57 bb 35 a7 c8 2b a0 3e 00 00 00 00 |....W.5..+.>....| -00000400 00 00 00 00 7a 92 96 16 57 bb 53 e7 b4 02 4c 12 |....z...W.S...L.| -00000410 00 00 00 00 00 00 00 00 14 50 9f da a5 41 17 8c |.........P...A..| -00000420 17 20 32 80 00 00 00 00 00 00 00 00 a8 f8 92 08 |. 2.............| -00000430 eb 5c 8a 3b e8 4b 84 6d 00 00 00 00 00 00 00 00 |.\.;.K.m........| -00000440 0e 61 5b ed e6 63 cd 3c b7 36 f3 01 00 00 00 00 |.a[..c.<.6......| -00000450 00 00 00 00 9f c0 d3 95 be 3f 1b bd 50 09 f9 00 |.........?..P...| -00000460 00 00 00 00 00 00 00 00 4e 2c a7 0b ab 19 50 ef |........N,....P.| -00000470 7a 16 02 a9 00 00 00 00 00 00 00 00 11 9f e8 9c |z...............| -00000480 64 d8 1c df 0d 4e c9 a2 00 00 00 00 00 00 00 00 |d....N..........| -00000490 f4 3d 5f 86 01 c3 ac 9d 0a 04 15 ca 00 00 00 00 |.=_.............| -000004a0 00 00 00 00 8f f5 fe 54 d4 56 17 e2 6d fc b0 b5 |.......T.V..m...| -000004b0 00 00 00 00 00 00 00 00 d4 b1 f6 1b 57 02 82 20 |............W.. | -000004c0 88 70 6e b9 00 00 00 00 00 00 00 00 a8 50 90 7c |.pn..........P.|| -000004d0 8c 82 ba c4 9b 32 3a a3 00 00 00 00 00 00 00 00 |.....2:.........| -000004e0 fa 1d 73 69 f7 9a 8e db fb 86 0e 03 00 00 00 00 |..si............| -000004f0 00 00 00 00 51 ba 64 37 27 7b a5 8f 70 34 be cc |....Q.d7'{..p4..| -00000500 00 00 00 00 00 00 00 00 a9 40 af ae ab 63 07 40 |.........@...c.@| -00000510 da 76 98 e9 00 00 00 00 00 00 00 00 1a b1 91 35 |.v.............5| -00000520 f5 38 91 da 81 f0 fc 1d 00 00 00 00 00 00 00 00 |.8..............| -00000530 96 97 7d d4 13 3e 79 b6 4a 82 8c 92 00 00 00 00 |..}..>y.J.......| -00000540 00 00 00 00 51 51 c0 b1 94 cd ce 73 45 67 cd 47 |....QQ.....sEg.G| -00000550 00 00 00 00 00 00 00 00 19 36 30 7f 6f 54 c6 9a |.........60.oT..| -00000560 29 e3 7c 80 00 00 00 00 00 00 00 00 30 2d 76 84 |).|.........0-v.| -00000570 55 2f 8c 8c c5 3b 8d 8b 00 00 00 00 00 00 00 00 |U/...;..........| -00000580 e2 e7 3e 7e 83 e4 e0 bd f1 19 82 d1 00 00 00 00 |..>~............| -00000590 00 00 00 00 21 83 98 ed 96 2d 58 35 b2 49 67 e4 |....!....-X5.Ig.| -000005a0 00 00 00 00 00 00 00 00 8d 1f fb 35 03 ad d0 59 |...........5...Y| -000005b0 c7 c2 38 31 00 00 00 00 00 00 00 00 40 a1 71 bb |..81........@.q.| -000005c0 38 c9 cf 4f a9 d9 23 04 00 00 00 00 00 00 00 00 |8..O..#.........| -000005d0 c5 78 a8 1f 45 ab 77 d4 7b ab e3 0f 00 00 00 00 |.x..E.w.{.......| -000005e0 00 00 00 00 3e d4 07 9e bf 0b 96 dd b8 a5 f9 2b |....>..........+| -000005f0 00 00 00 00 00 00 00 00 dc a9 15 74 fb 01 94 1a |...........t....| -00000600 b2 c8 f0 a0 00 00 00 00 00 00 00 00 40 d0 6a fb |............@.j.| -00000610 97 8f 0d 52 4f 2c 04 78 00 00 00 00 00 00 00 00 |...RO,.x........| -00000620 43 22 81 97 29 86 d3 19 dc 14 5a da 00 00 00 00 |C"..).....Z.....| -00000630 00 00 00 00 99 96 52 d5 24 d9 ce ff 34 56 a7 30 |......R.$...4V.0| -00000640 00 00 00 00 00 00 00 00 ef 40 46 e9 41 43 b4 c8 |.........@F.AC..| -00000650 63 ef 6e e7 00 00 00 00 00 00 00 00 f2 30 9b 86 |c.n..........0..| -00000660 19 2b 0a 80 18 24 12 2c 00 00 00 00 00 00 00 00 |.+...$.,........| -00000670 d5 68 41 72 c0 0e 9e 6d 78 0d 4a 77 00 00 00 00 |.hAr...mx.Jw....| -00000680 00 00 00 00 87 3a bf 6a 8f 69 85 1c 6e 95 2d 16 |.....:.j.i..n.-.| -00000690 00 00 00 00 00 00 00 00 cb 7d 52 ba ed ae 3b cd |.........}R...;.| -000006a0 2c be 29 78 00 00 00 00 00 00 00 00 ec 21 3b cb |,.)x.........!;.| -000006b0 1d 2a f8 a4 69 03 9b 07 00 00 00 00 00 00 00 00 |.*..i...........| -000006c0 a8 19 99 5e 2a 54 44 34 82 e4 8b e4 00 00 00 00 |...^*TD4........| -000006d0 00 00 00 00 b1 7e b2 ed 98 44 c5 50 66 58 82 9e |.....~...D.PfX..| -000006e0 00 00 00 00 00 00 00 00 23 ee 28 d0 87 1f ca 98 |........#.(.....| -000006f0 72 bd e6 f3 00 00 00 00 00 00 00 00 dc ee b0 19 |r...............| -00000700 7f ba 7f de 6b 60 db 88 00 00 00 00 00 00 00 00 |....k`..........| -00000710 84 e1 73 f2 33 ec f7 b8 7e c2 89 15 00 00 00 00 |..s.3...~.......| -00000720 00 00 00 00 ef 58 c9 f4 78 4e f8 d2 10 ad a9 c9 |.....X..xN......| -00000730 00 00 00 00 00 00 00 00 90 36 42 be 19 14 92 59 |.........6B....Y| -00000740 c7 c8 91 19 00 00 00 00 00 00 00 00 36 b3 a6 2d |............6..-| -00000750 1a cd d0 44 ee ec d9 25 00 00 00 00 00 00 00 00 |...D...%........| -00000760 c0 4c 35 eb 2b ad 22 0f 8d 26 9d 7d 00 00 00 00 |.L5.+."..&.}....| -00000770 00 00 00 00 e3 ad 29 91 c9 c8 6c aa 05 40 eb af |......)...l..@..| -00000780 00 00 00 00 00 00 00 00 7d a2 0d 74 d5 ad 66 a9 |........}..t..f.| -00000790 3c 08 13 ad 00 00 00 00 00 00 00 00 59 87 95 38 |<...........Y..8| -000007a0 88 36 a1 23 7d 80 0e 64 00 00 00 00 00 00 00 00 |.6.#}..d........| -000007b0 15 ed d8 4d 9e 05 51 88 37 4b a3 f6 00 00 00 00 |...M..Q.7K......| -000007c0 00 00 00 00 0c 6a d4 c2 41 c7 86 7b 49 5e e0 2c |.....j..A..{I^.,| -000007d0 00 00 00 00 00 00 00 00 ca 24 f7 43 c7 b9 0e cf |.........$.C....| -000007e0 f4 80 d0 25 00 00 00 00 00 00 00 00 ac 77 e3 31 |...%.........w.1| -000007f0 e3 7d af b3 33 81 de 26 00 00 00 00 00 00 00 00 |.}..3..&........| -00000800 da f3 9a 2c f9 7c 91 d8 30 d4 3c 62 00 00 00 00 |...,.|..0.....`.;.....| -00000950 00 00 00 00 df 40 31 77 2b 9a db b6 87 10 cf 2b |.....@1w+......+| -00000960 00 00 00 00 00 00 00 00 dc cd e2 b1 d6 54 a6 c5 |.............T..| -00000970 e1 1f e1 b0 00 00 00 00 00 00 00 00 91 82 8d a4 |................| -00000980 cd 80 d9 07 ad 96 3b fa 00 00 00 00 00 00 00 00 |......;.........| -00000990 e0 35 b6 cf d2 28 14 19 24 15 60 bb 00 00 00 00 |.5...(..$.`.....| -000009a0 00 00 00 00 4d b6 10 80 25 67 46 03 1e e8 b7 29 |....M...%gF....)| -000009b0 00 00 00 00 00 00 00 00 8b 39 d1 ef dd 0b 54 f9 |.........9....T.| -000009c0 48 e4 65 f9 00 00 00 00 00 00 00 00 89 37 3a ac |H.e..........7:.| -000009d0 94 c3 26 fc 3c a3 dc d0 00 00 00 00 00 00 00 00 |..&.<...........| -000009e0 9d dd c1 59 85 a9 c1 b0 ed 82 d9 4a 00 00 00 00 |...Y.......J....| -000009f0 00 00 00 00 6c 45 30 5e f9 e7 db d1 fc c2 ab 19 |....lE0^........| +00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000500 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000510 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000520 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000530 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000550 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000570 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000590 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000600 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000610 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000630 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000650 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000660 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000670 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000680 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000690 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000700 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000710 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000720 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000730 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000750 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000770 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000790 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000800 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000810 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000830 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000850 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000860 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000870 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000880 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000890 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000900 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000910 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000920 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000930 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000950 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000970 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000990 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| BRAM data (bank 2): 160 x 128 @ 0x00000080; 20480 bits = 2560 bytes try 1: -00000000 00 00 00 00 00 00 00 00 38 57 ae 57 f9 d5 0a a5 |........8W.W....| -00000010 18 8c 7d 3c 00 00 00 00 00 00 00 00 d8 65 6e 05 |..}<.........en.| -00000020 81 c8 ee 7a bf b1 67 fc 00 00 00 00 00 00 00 00 |...z..g.........| -00000030 c4 88 1e a0 62 66 90 7c 25 a5 1b 3f 00 00 00 00 |....bf.|%..?....| -00000040 00 00 00 00 01 2b ba 5d cc 2b 3c 35 23 18 90 61 |.....+.].+<5#..a| -00000050 00 00 00 00 00 00 00 00 e7 30 2f 50 fd f3 b3 b1 |.........0/P....| -00000060 44 8f 24 f2 00 00 00 00 00 00 00 00 9b c1 f4 ef |D.$.............| -00000070 ac ee 1a ce d7 a5 f3 68 00 00 00 00 00 00 00 00 |.......h........| -00000080 51 61 e1 f3 97 f6 c2 15 58 10 b9 43 00 00 00 00 |Qa......X..C....| -00000090 00 00 00 00 76 2f 61 c0 fd b6 5e 21 ee 45 9b 69 |....v/a...^!.E.i| -000000a0 00 00 00 00 00 00 00 00 cc e1 75 67 e0 7f 94 d4 |..........ug....| -000000b0 f8 59 3c 6c 00 00 00 00 00 00 00 00 d2 43 2b 35 |.Y5..F........| -000000d0 cb c0 23 f5 3d fa 15 cd 13 6b 76 6e 00 00 00 00 |..#.=....kvn....| -000000e0 00 00 00 00 8d b2 7c 37 f1 3f 9f 10 e4 4b c6 86 |......|7.?...K..| -000000f0 00 00 00 00 00 00 00 00 64 d0 a7 e3 7e 23 a9 12 |........d...~#..| -00000100 7b 32 13 a0 00 00 00 00 00 00 00 00 43 84 3b 65 |{2..........C.;e| -00000110 93 fb 37 ac ff b6 97 02 00 00 00 00 00 00 00 00 |..7.............| -00000120 8e d6 a8 3e ad 53 ff d2 df 5a 51 f8 00 00 00 00 |...>.S...ZQ.....| -00000130 00 00 00 00 c7 7f 84 71 b8 b0 75 95 5e 28 51 23 |.......q..u.^(Q#| -00000140 00 00 00 00 00 00 00 00 18 09 31 03 d3 2e c1 ee |..........1.....| -00000150 d3 56 57 d6 00 00 00 00 00 00 00 00 cb b3 3e dc |.VW...........>.| -00000160 3e b4 73 6f 01 92 e3 b8 00 00 00 00 00 00 00 00 |>.so............| -00000170 1b 1a af 9b 3f 09 09 04 b5 df ae 04 00 00 00 00 |....?...........| -00000180 00 00 00 00 06 81 27 79 33 23 91 c0 ce 7a ef f6 |......'y3#...z..| -00000190 00 00 00 00 00 00 00 00 50 3e 4a 87 f5 79 ac 8e |........P>J..y..| -000001a0 37 d2 c2 96 00 00 00 00 00 00 00 00 6f df c7 ca |7...........o...| -000001b0 1d f9 bd 55 42 a4 30 c4 00 00 00 00 00 00 00 00 |...UB.0.........| -000001c0 f7 57 87 30 8b bd 6b 5f fb 91 d4 3f 00 00 00 00 |.W.0..k_...?....| -000001d0 00 00 00 00 28 8b 46 56 46 f3 bc ed 24 4d 14 38 |....(.FVF...$M.8| -000001e0 00 00 00 00 00 00 00 00 bb ae f8 29 8f 2a e0 b5 |...........).*..| -000001f0 98 e3 ed ec 00 00 00 00 00 00 00 00 0a 68 3e 41 |.............h>A| -00000200 11 81 1e 4d c8 74 67 55 00 00 00 00 00 00 00 00 |...M.tgU........| -00000210 c5 1c d8 f1 71 cd c5 52 7c b8 3b 01 00 00 00 00 |....q..R|.;.....| -00000220 00 00 00 00 32 dc 4d 9d ed 88 1f cd 9e 84 af a0 |....2.M.........| -00000230 00 00 00 00 00 00 00 00 11 d9 68 17 d5 be a0 5f |..........h...._| -00000240 8f b4 e4 50 00 00 00 00 00 00 00 00 6d f9 7a 4d |...P........m.zM| -00000250 f6 ce 85 ed a4 e3 b2 b2 00 00 00 00 00 00 00 00 |................| -00000260 79 11 12 fd c9 73 6b 07 cc d3 89 89 00 00 00 00 |y....sk.........| -00000270 00 00 00 00 3c c3 42 15 d4 d4 02 2d df 78 06 77 |....<.B....-.x.w| -00000280 00 00 00 00 00 00 00 00 91 e1 54 21 c6 2a d9 30 |..........T!.*.0| -00000290 db 5a 7e fa 00 00 00 00 00 00 00 00 bd 93 c1 25 |.Z~............%| -000002a0 93 39 97 38 31 bb a5 98 00 00 00 00 00 00 00 00 |.9.81...........| -000002b0 39 00 aa 48 c7 f4 01 21 37 9e e1 19 00 00 00 00 |9..H...!7.......| -000002c0 00 00 00 00 2b 94 1a 95 4f 61 fa 98 8f 36 65 75 |....+...Oa...6eu| -000002d0 00 00 00 00 00 00 00 00 04 bb 15 93 e9 f3 16 06 |................| -000002e0 41 e4 8e bc 00 00 00 00 00 00 00 00 ad e8 63 05 |A.............c.| -000002f0 96 9f 3f 97 5e 40 30 f8 00 00 00 00 00 00 00 00 |..?.^@0.........| -00000300 1a 1d 12 25 91 65 f1 7d af 74 d9 80 00 00 00 00 |...%.e.}.t......| -00000310 00 00 00 00 fa 6d 3c 3f 5f 06 89 6c e0 d4 c6 96 |.....mL....a| -000003d0 35 c9 06 7a 00 00 00 00 00 00 00 00 27 25 ed ec |5..z........'%..| -000003e0 65 ed 80 94 1b a7 c7 a7 00 00 00 00 00 00 00 00 |e...............| -000003f0 f4 db 38 62 9a b5 58 25 36 1d e5 b6 00 00 00 00 |..8b..X%6.......| -00000400 00 00 00 00 8d 88 d0 ae 27 95 64 2e 6e 45 7f 5a |........'.d.nE.Z| -00000410 00 00 00 00 00 00 00 00 97 9e 04 a3 e5 36 f5 1c |.............6..| -00000420 36 d9 a5 41 00 00 00 00 00 00 00 00 82 28 6d 24 |6..A.........(m$| -00000430 43 35 56 38 b9 c5 2e 5f 00 00 00 00 00 00 00 00 |C5V8..._........| -00000440 1d 0c ea 56 5c a8 78 74 36 c2 bf 9c 00 00 00 00 |...V\.xt6.......| -00000450 00 00 00 00 af 0b d4 67 79 35 a0 1b c0 47 82 cc |.......gy5...G..| -00000460 00 00 00 00 00 00 00 00 67 77 af 04 56 94 4b d3 |........gw..V.K.| -00000470 4d 14 ee 61 00 00 00 00 00 00 00 00 67 5c d3 c9 |M..a........g\..| -00000480 93 18 ac e1 1e ce 9c ec 00 00 00 00 00 00 00 00 |................| -00000490 0a 45 f2 b0 1b c7 6a 82 dc 8d f9 76 00 00 00 00 |.E....j....v....| -000004a0 00 00 00 00 6b 7a 35 4e 07 f0 00 a6 19 19 b2 47 |....kz5N.......G| -000004b0 00 00 00 00 00 00 00 00 ec d9 9a 6c b7 53 bc 5d |...........l.S.]| -000004c0 ee 6c eb 2b 00 00 00 00 00 00 00 00 24 73 70 7d |.l.+........$sp}| -000004d0 99 40 9b a5 b9 31 9a 41 00 00 00 00 00 00 00 00 |.@...1.A........| -000004e0 d0 94 9a a8 f6 87 66 18 55 a9 61 fb 00 00 00 00 |......f.U.a.....| -000004f0 00 00 00 00 72 6c 03 9e ee c6 e8 d7 6a ae 6f 2a |....rl......j.o*| -00000500 00 00 00 00 00 00 00 00 4c 4f 2a 87 1e 22 21 3d |........LO*.."!=| -00000510 8d 16 66 3d 00 00 00 00 00 00 00 00 5b fe c6 e9 |..f=........[...| -00000520 39 9b a3 43 d9 29 b4 7a 00 00 00 00 00 00 00 00 |9..C.).z........| -00000530 92 f0 e0 8d 13 4c e6 7d 28 d2 17 65 00 00 00 00 |.....L.}(..e....| -00000540 00 00 00 00 49 d8 fd 32 9e 4a f0 c7 29 f9 6e 6c |....I..2.J..).nl| -00000550 00 00 00 00 00 00 00 00 c0 2a 47 a4 8c dd 97 6e |.........*G....n| -00000560 1a bd 14 3a 00 00 00 00 00 00 00 00 d4 46 7e 04 |...:.........F~.| -00000570 34 c8 90 64 1f d4 3a a2 00 00 00 00 00 00 00 00 |4..d..:.........| -00000580 2b 35 67 48 6e c5 c0 8a 8b 26 76 96 00 00 00 00 |+5gHn....&v.....| -00000590 00 00 00 00 00 e5 06 d4 8f 87 2b 34 ef 18 e1 71 |..........+4...q| -000005a0 00 00 00 00 00 00 00 00 f2 33 52 89 65 e1 56 97 |.........3R.e.V.| -000005b0 30 ca ee 08 00 00 00 00 00 00 00 00 96 28 35 a6 |0............(5.| -000005c0 95 21 57 0a ac 3c 6a 7a 00 00 00 00 00 00 00 00 |.!W..N"-".Q........| -00000670 c0 da 75 28 c9 a9 0f db 2a 72 2e af 00 00 00 00 |..u(....*r......| -00000680 00 00 00 00 3c cf d5 61 fb ce 58 c3 2b 95 6a 69 |....<..a..X.+.ji| -00000690 00 00 00 00 00 00 00 00 62 d3 8e 50 4a 62 f7 3e |........b..PJb.>| -000006a0 de c5 93 d5 00 00 00 00 00 00 00 00 60 5b 79 ef |............`[y.| -000006b0 dc 55 51 14 b4 20 01 81 00 00 00 00 00 00 00 00 |.UQ.. ..........| -000006c0 14 00 b2 43 78 90 6b 39 e4 24 29 88 00 00 00 00 |...Cx.k9.$).....| -000006d0 00 00 00 00 1a 50 6d a6 b7 e6 fe 9b 57 1e 11 4a |.....Pm.....W..J| -000006e0 00 00 00 00 00 00 00 00 fd 95 40 7a 2e e1 c6 df |..........@z....| -000006f0 ca 2f 23 96 00 00 00 00 00 00 00 00 c0 7f c9 79 |./#............y| -00000700 2a 09 aa c5 8f 96 c7 41 00 00 00 00 00 00 00 00 |*......A........| -00000710 4f 0a 41 db 6d f4 b1 e6 c4 64 4d 27 00 00 00 00 |O.A.m....dM'....| -00000720 00 00 00 00 ea 8a 98 88 3a e1 72 fa 31 e3 dc 3a |........:.r.1..:| -00000730 00 00 00 00 00 00 00 00 a0 88 31 2c 12 e4 56 c1 |..........1,..V.| -00000740 76 25 d6 2c 00 00 00 00 00 00 00 00 d3 99 fd 2b |v%.,...........+| -00000750 6b d2 5b 7f dc ae 38 4c 00 00 00 00 00 00 00 00 |k.[...8L........| -00000760 94 bd 78 93 c7 fc 7b 3c 00 73 17 52 00 00 00 00 |..x...{<.s.R....| -00000770 00 00 00 00 6f 7e 38 07 22 d0 50 89 c0 06 94 2e |....o~8.".P.....| -00000780 00 00 00 00 00 00 00 00 b1 19 0f d8 09 cc 00 f4 |................| -00000790 4f 7d c0 63 00 00 00 00 00 00 00 00 a7 a1 53 af |O}.c..........S.| -000007a0 23 76 1e 6d 39 52 64 e8 00 00 00 00 00 00 00 00 |#v.m9Rd.........| -000007b0 78 64 78 c0 18 ca e5 df ec 84 14 41 00 00 00 00 |xdx........A....| -000007c0 00 00 00 00 20 c4 cc 7a 0b 4e 32 01 5d 8f 5e 8a |.... ..z.N2.].^.| -000007d0 00 00 00 00 00 00 00 00 e8 43 19 81 12 48 7a e5 |.........C...Hz.| -000007e0 61 62 8b 9b 00 00 00 00 00 00 00 00 a1 5e 6a 34 |ab...........^j4| -000007f0 75 ed fd 95 aa 39 1a 7b 00 00 00 00 00 00 00 00 |u....9.{........| -00000800 08 dd d2 4d 1d fd 8b b8 a3 65 73 11 00 00 00 00 |...M.....es.....| -00000810 00 00 00 00 02 fd 7c df 22 fe d8 5d c3 c2 d3 ef |......|."..]....| -00000820 00 00 00 00 00 00 00 00 58 3c e2 68 a9 4b ab 44 |........X<.h.K.D| -00000830 89 00 d4 3d 00 00 00 00 00 00 00 00 af 5d 2d 02 |...=.........]-.| -00000840 f9 e6 79 a4 31 12 56 85 00 00 00 00 00 00 00 00 |..y.1.V.........| -00000850 de df f5 8e 30 a3 60 a8 8a de fc 4f 00 00 00 00 |....0.`....O....| -00000860 00 00 00 00 79 ff 9c b3 5c ed 0f 54 f8 3d b2 61 |....y...\..T.=.a| -00000870 00 00 00 00 00 00 00 00 83 78 b6 60 e0 1b 4b 86 |.........x.`..K.| -00000880 9d 32 06 8d 00 00 00 00 00 00 00 00 b4 75 c2 dc |.2...........u..| -00000890 e8 b6 68 ca db b0 fa 46 00 00 00 00 00 00 00 00 |..h....F........| -000008a0 85 43 70 11 42 bd 74 11 e9 a5 e6 a1 00 00 00 00 |.Cp.B.t.........| -000008b0 00 00 00 00 52 6a b8 16 89 1b 0d 9e 8a e5 77 e5 |....Rj........w.| -000008c0 00 00 00 00 00 00 00 00 8e d2 0c 76 6e d8 1d da |...........vn...| -000008d0 ab bf 5a ed 00 00 00 00 00 00 00 00 76 7b 45 a9 |..Z.........v{E.| -000008e0 0b b4 ff e3 d5 11 cc e1 00 00 00 00 00 00 00 00 |................| -000008f0 2e 10 c5 ae 3d 02 5f b2 12 f8 04 a4 00 00 00 00 |....=._.........| -00000900 00 00 00 00 64 fb 35 7c 5a 0b ba 9e 15 cf da 9e |....d.5|Z.......| -00000910 00 00 00 00 00 00 00 00 10 a0 4e 31 96 65 39 03 |..........N1.e9.| -00000920 a0 d3 9a bc 00 00 00 00 00 00 00 00 c5 e1 db ed |................| -00000930 22 2f d5 b5 52 95 35 cb 00 00 00 00 00 00 00 00 |"/..R.5.........| -00000940 d4 f2 f3 e0 ae b7 31 71 e6 35 96 02 00 00 00 00 |......1q.5......| -00000950 00 00 00 00 1a e4 e8 dc a6 42 c2 64 32 44 17 8b |.........B.d2D..| -00000960 00 00 00 00 00 00 00 00 98 00 cb 94 e5 10 d5 ad |................| -00000970 58 67 98 a7 00 00 00 00 00 00 00 00 32 05 86 ab |Xg..........2...| -00000980 2b 13 c9 60 da 9c 8e bd 00 00 00 00 00 00 00 00 |+..`............| -00000990 c4 cd 49 9e cd 3e 54 48 2a 9a 0c 49 00 00 00 00 |..I..>TH*..I....| -000009a0 00 00 00 00 87 b5 a2 81 63 f0 0b 1d fa 1c 86 8b |........c.......| -000009b0 00 00 00 00 00 00 00 00 13 61 d8 dd ff 3e bc d9 |.........a...>..| -000009c0 ca 72 b4 53 00 00 00 00 00 00 00 00 c1 9f cf 4a |.r.S...........J| -000009d0 7d 44 d9 d0 85 e3 b2 b4 00 00 00 00 00 00 00 00 |}D..............| -000009e0 62 d0 65 a9 5d c1 d7 16 dd ef 2f 79 00 00 00 00 |b.e.]...../y....| -000009f0 00 00 00 00 06 18 92 4a c2 cb 47 38 63 a5 d6 b5 |.......J..G8c...| +00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000500 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000510 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000520 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000530 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000550 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000570 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000590 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000005f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000600 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000610 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000630 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000650 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000660 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000670 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000680 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000690 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000006f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000700 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000710 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000720 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000730 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000750 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000770 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000790 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000007f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000800 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000810 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000830 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000850 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000860 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000870 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000880 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000890 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000008f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000900 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000910 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000920 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000930 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000950 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000970 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000990 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000009f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| BRAM data (bank 3): 80 x 128 @ 0x00000000; 10240 bits = 1280 bytes try 1: -00000000 e3 8d 17 e7 00 00 00 00 00 00 44 04 19 e3 00 00 |..........D.....| -00000010 00 00 00 00 30 08 7c 51 00 00 00 00 00 00 ea 19 |....0.|Q........| -00000020 a3 d9 00 00 00 00 00 00 e8 fb 0a af 00 00 00 00 |................| -00000030 00 00 c6 fc b2 9d 00 00 00 00 00 00 6d 18 e7 30 |............m..0| -00000040 00 00 00 00 00 00 df d6 4e 6e 00 00 00 00 00 00 |........Nn......| -00000050 6c 83 d1 f9 00 00 00 00 00 00 df f8 cf fe 00 00 |l...............| -00000060 00 00 00 00 f1 87 c1 10 00 00 00 00 00 00 c6 17 |................| -00000070 4b fa 00 00 00 00 00 00 8c 34 bb 10 00 00 00 00 |K........4......| -00000080 00 00 32 1f 06 9a 00 00 00 00 00 00 11 7a 1c 72 |..2..........z.r| -00000090 00 00 00 00 00 00 7b 0c 25 c1 00 00 00 00 00 00 |......{.%.......| -000000a0 26 dd 8b ad 00 00 00 00 00 00 1e 70 39 d7 00 00 |&..........p9...| -000000b0 00 00 00 00 20 a9 fc 3d 00 00 00 00 00 00 c7 88 |.... ..=........| -000000c0 8a c4 00 00 00 00 00 00 8c 61 8b 61 00 00 00 00 |.........a.a....| -000000d0 00 00 97 67 4d d1 00 00 00 00 00 00 16 db e7 5b |...gM..........[| -000000e0 00 00 00 00 00 00 49 3b 67 d9 00 00 00 00 00 00 |......I;g.......| -000000f0 26 39 9f d3 00 00 00 00 00 00 d1 f1 d6 8e 00 00 |&9..............| -00000100 00 00 00 00 66 c7 e7 05 00 00 00 00 00 00 8e 2a |....f..........*| -00000110 7e e1 00 00 00 00 00 00 47 72 73 9a 00 00 00 00 |~.......Grs.....| -00000120 00 00 c1 a9 18 c5 00 00 00 00 00 00 0e 4c 55 11 |.............LU.| -00000130 00 00 00 00 00 00 28 80 35 82 00 00 00 00 00 00 |......(.5.......| -00000140 5d a4 4d 22 00 00 00 00 00 00 c8 e7 dc 4d 00 00 |].M".........M..| -00000150 00 00 00 00 35 91 27 2b 00 00 00 00 00 00 5d 56 |....5.'+......]V| -00000160 99 30 00 00 00 00 00 00 d3 f8 25 25 00 00 00 00 |.0........%%....| -00000170 00 00 ae 00 7f f1 00 00 00 00 00 00 61 9b ba 56 |............a..V| -00000180 00 00 00 00 00 00 cc d7 c4 53 00 00 00 00 00 00 |.........S......| -00000190 a3 4b e8 2f 00 00 00 00 00 00 64 94 84 8b 00 00 |.K./......d.....| -000001a0 00 00 00 00 19 22 ec 90 00 00 00 00 00 00 fd db |....."..........| -000001b0 92 39 00 00 00 00 00 00 e1 49 03 f1 00 00 00 00 |.9.......I......| -000001c0 00 00 7e 2d 94 f5 00 00 00 00 00 00 9d 0a 14 aa |..~-............| -000001d0 00 00 00 00 00 00 d2 75 8f 1e 00 00 00 00 00 00 |.......u........| -000001e0 68 27 6f 88 00 00 00 00 00 00 4d 95 32 b3 00 00 |h'o.......M.2...| -000001f0 00 00 00 00 82 21 e3 5e 00 00 00 00 00 00 02 57 |.....!.^.......W| -00000200 6f 26 00 00 00 00 00 00 6c a4 13 a0 00 00 00 00 |o&......l.......| -00000210 00 00 54 5b 96 dc 00 00 00 00 00 00 b0 43 8a 96 |..T[.........C..| -00000220 00 00 00 00 00 00 70 7d fc 2b 00 00 00 00 00 00 |......p}.+......| -00000230 5d 08 02 45 00 00 00 00 00 00 c1 45 b0 b5 00 00 |]..E.......E....| -00000240 00 00 00 00 f7 17 64 db 00 00 00 00 00 00 49 25 |......d.......I%| -00000250 56 cc 00 00 00 00 00 00 c1 1c 20 d8 00 00 00 00 |V......... .....| -00000260 00 00 c8 5e d6 b6 00 00 00 00 00 00 9d 1d ab c5 |...^............| -00000270 00 00 00 00 00 00 48 1d 70 37 00 00 00 00 00 00 |......H.p7......| -00000280 e8 7f ec e7 00 00 00 00 00 00 f2 b4 02 af 00 00 |................| -00000290 00 00 00 00 10 89 6b d9 00 00 00 00 00 00 09 17 |......k.........| -000002a0 01 e5 00 00 00 00 00 00 4d a3 e0 df 00 00 00 00 |........M.......| -000002b0 00 00 4c a1 66 af 00 00 00 00 00 00 0f 8b e4 e8 |..L.f...........| -000002c0 00 00 00 00 00 00 ad d0 80 27 00 00 00 00 00 00 |.........'......| -000002d0 26 94 66 44 00 00 00 00 00 00 d4 aa f7 d0 00 00 |&.fD............| -000002e0 00 00 00 00 18 66 5f 5b 00 00 00 00 00 00 4b d8 |.....f_[......K.| -000002f0 f9 f3 00 00 00 00 00 00 ed b4 24 4b 00 00 00 00 |..........$K....| -00000300 00 00 42 00 bf b4 00 00 00 00 00 00 2a b7 8e 1d |..B.........*...| -00000310 00 00 00 00 00 00 aa 43 11 09 00 00 00 00 00 00 |.......C........| -00000320 00 b3 82 63 00 00 00 00 00 00 55 1a df b2 00 00 |...c......U.....| -00000330 00 00 00 00 53 91 fd 11 00 00 00 00 00 00 43 54 |....S.........CT| -00000340 76 27 00 00 00 00 00 00 06 e8 ff 99 00 00 00 00 |v'..............| -00000350 00 00 73 32 95 d6 00 00 00 00 00 00 df 6b 1b 1a |..s2.........k..| -00000360 00 00 00 00 00 00 e4 99 75 11 00 00 00 00 00 00 |........u.......| -00000370 12 7d 9e 0b 00 00 00 00 00 00 3f ff 4d 89 00 00 |.}........?.M...| -00000380 00 00 00 00 04 cc a3 8c 00 00 00 00 00 00 e4 fd |................| -00000390 3c 66 00 00 00 00 00 00 c0 17 6d 4d 00 00 00 00 |.......k..u| -00000400 00 00 00 00 00 00 cf 77 41 61 00 00 00 00 00 00 |.......wAa......| -00000410 ee 5e 55 55 00 00 00 00 00 00 02 ef 2f 3c 00 00 |.^UU......../<..| -00000420 00 00 00 00 30 c1 b8 8c 00 00 00 00 00 00 7f 81 |....0...........| -00000430 0f 07 00 00 00 00 00 00 c8 f2 54 47 00 00 00 00 |..........TG....| -00000440 00 00 30 76 55 73 00 00 00 00 00 00 b9 ff 24 2f |..0vUs........$/| -00000450 00 00 00 00 00 00 0c b0 50 41 00 00 00 00 00 00 |........PA......| -00000460 d0 11 3f af 00 00 00 00 00 00 32 93 48 38 00 00 |..?.......2.H8..| -00000470 00 00 00 00 65 20 84 1e 00 00 00 00 00 00 da a9 |....e ..........| -00000480 ad 97 00 00 00 00 00 00 4c 1e cc fd 00 00 00 00 |........L.......| -00000490 00 00 6c 2b 35 99 00 00 00 00 00 00 c0 6d bd b5 |..l+5........m..| -000004a0 00 00 00 00 00 00 52 71 35 36 00 00 00 00 00 00 |......Rq56......| -000004b0 db d7 e1 0d 00 00 00 00 00 00 75 2b c9 fe 00 00 |..........u+....| -000004c0 00 00 00 00 0e 89 2a 93 00 00 00 00 00 00 90 26 |......*........&| -000004d0 45 46 00 00 00 00 00 00 ce 01 7f 56 00 00 00 00 |EF.........V....| -000004e0 00 00 a8 13 8d d1 00 00 00 00 00 00 33 3d d6 da |............3=..| -000004f0 00 00 00 00 00 00 b1 7f 52 51 00 00 00 00 00 00 |........RQ......| +00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| BRAM data (bank 3): 80 x 128 @ 0x00000080; 10240 bits = 1280 bytes try 1: -00000000 c1 57 68 76 00 00 00 00 00 00 d8 66 0f b5 00 00 |.Whv.......f....| -00000010 00 00 00 00 31 50 9e c7 00 00 00 00 00 00 0b a5 |....1P..........| -00000020 ae 83 00 00 00 00 00 00 61 47 28 f7 00 00 00 00 |........aG(.....| -00000030 00 00 50 a4 74 68 00 00 00 00 00 00 15 37 44 87 |..P.th.......7D.| -00000040 00 00 00 00 00 00 08 df 6b 64 00 00 00 00 00 00 |........kd......| -00000050 04 33 fd 13 00 00 00 00 00 00 0e 1a c9 c8 00 00 |.3..............| -00000060 00 00 00 00 55 b4 9e 8b 00 00 00 00 00 00 98 f7 |....U...........| -00000070 23 c4 00 00 00 00 00 00 9d 41 48 56 00 00 00 00 |#........AHV....| -00000080 00 00 01 9c e4 40 00 00 00 00 00 00 89 50 c8 ad |.....@.......P..| -00000090 00 00 00 00 00 00 68 37 1d b4 00 00 00 00 00 00 |......h7........| -000000a0 67 99 83 91 00 00 00 00 00 00 1d 21 46 24 00 00 |g..........!F$..| -000000b0 00 00 00 00 ca 4e 6e 3f 00 00 00 00 00 00 a2 c4 |.....Nn?........| -000000c0 cd 04 00 00 00 00 00 00 e9 bd 81 d7 00 00 00 00 |................| -000000d0 00 00 34 34 b1 e4 00 00 00 00 00 00 43 1a 32 75 |..44........C.2u| -000000e0 00 00 00 00 00 00 7b 51 6c fa 00 00 00 00 00 00 |......{Ql.......| -000000f0 14 ff 63 c7 00 00 00 00 00 00 f1 37 45 91 00 00 |..c........7E...| -00000100 00 00 00 00 13 d6 87 36 00 00 00 00 00 00 00 88 |.......6........| -00000110 d1 40 00 00 00 00 00 00 4d 63 b3 84 00 00 00 00 |.@......Mc......| -00000120 00 00 19 51 e7 7d 00 00 00 00 00 00 ae 53 05 f0 |...Q.}.......S..| -00000130 00 00 00 00 00 00 f1 07 a7 0e 00 00 00 00 00 00 |................| -00000140 f6 a7 ff 9c 00 00 00 00 00 00 96 c3 44 00 00 00 |............D...| -00000150 00 00 00 00 1c 8b 53 4d 00 00 00 00 00 00 23 b0 |......SM......#.| -00000160 47 9c 00 00 00 00 00 00 7f 4f ac c2 00 00 00 00 |G........O......| -00000170 00 00 a3 ab a6 e9 00 00 00 00 00 00 3b c6 c0 76 |............;..v| -00000180 00 00 00 00 00 00 e9 96 65 8c 00 00 00 00 00 00 |........e.......| -00000190 a7 c1 11 42 00 00 00 00 00 00 59 f7 72 7a 00 00 |...B......Y.rz..| -000001a0 00 00 00 00 8a 1d be f8 00 00 00 00 00 00 21 3e |..............!>| -000001b0 58 0e 00 00 00 00 00 00 39 85 36 96 00 00 00 00 |X.......9.6.....| -000001c0 00 00 1b 8d 09 87 00 00 00 00 00 00 60 eb b4 55 |............`..U| -000001d0 00 00 00 00 00 00 0e f1 93 2f 00 00 00 00 00 00 |........./......| -000001e0 62 0f 3b 53 00 00 00 00 00 00 c6 27 04 83 00 00 |b.;S.......'....| -000001f0 00 00 00 00 52 ac 83 d6 00 00 00 00 00 00 74 0a |....R.........t.| -00000200 59 d2 00 00 00 00 00 00 cb 7e 83 3f 00 00 00 00 |Y........~.?....| -00000210 00 00 6c 5e c7 89 00 00 00 00 00 00 84 fa 84 24 |..l^...........$| -00000220 00 00 00 00 00 00 49 ac 36 2d 00 00 00 00 00 00 |......I.6-......| -00000230 9d 19 9a 17 00 00 00 00 00 00 d1 2d 80 aa 00 00 |...........-....| -00000240 00 00 00 00 77 8d 40 e9 00 00 00 00 00 00 0f f6 |....w.@.........| -00000250 9e 9e 00 00 00 00 00 00 82 c3 70 b7 00 00 00 00 |..........p.....| -00000260 00 00 46 78 72 11 00 00 00 00 00 00 f9 bb 05 6c |..Fxr..........l| -00000270 00 00 00 00 00 00 56 69 86 b8 00 00 00 00 00 00 |......Vi........| -00000280 7a cd ba d1 00 00 00 00 00 00 8b ed 4d 47 00 00 |z...........MG..| -00000290 00 00 00 00 c5 0f 7b cf 00 00 00 00 00 00 52 fc |......{.......R.| -000002a0 5c 02 00 00 00 00 00 00 11 f1 09 db 00 00 00 00 |\...............| -000002b0 00 00 02 c1 41 9a 00 00 00 00 00 00 52 8a 4c 51 |....A.......R.LQ| -000002c0 00 00 00 00 00 00 ce 61 6c d2 00 00 00 00 00 00 |.......al.......| -000002d0 21 52 66 1e 00 00 00 00 00 00 5a 33 6c 48 00 00 |!Rf.......Z3lH..| -000002e0 00 00 00 00 4f f8 03 e5 00 00 00 00 00 00 b5 64 |....O..........d| -000002f0 d2 23 00 00 00 00 00 00 32 5a fa f4 00 00 00 00 |.#......2Z......| -00000300 00 00 c2 01 c1 23 00 00 00 00 00 00 80 f3 b2 10 |.....#..........| -00000310 00 00 00 00 00 00 9d b4 7b 40 00 00 00 00 00 00 |........{@......| -00000320 3b 0b 51 02 00 00 00 00 00 00 98 03 f5 97 00 00 |;.Q.............| -00000330 00 00 00 00 1c 1d 25 15 00 00 00 00 00 00 f7 97 |......%.........| -00000340 b7 fc 00 00 00 00 00 00 b3 59 4c 89 00 00 00 00 |.........YL.....| -00000350 00 00 72 a7 f5 80 00 00 00 00 00 00 32 27 d7 3c |..r.........2'.<| -00000360 00 00 00 00 00 00 b8 3d ba 6e 00 00 00 00 00 00 |.......=.n......| -00000370 5c 7d 28 3c 00 00 00 00 00 00 f1 ff cf 10 00 00 |\}(<............| -00000380 00 00 00 00 25 a3 56 c0 00 00 00 00 00 00 4f c8 |....%.V.......O.| -00000390 0a cb 00 00 00 00 00 00 29 25 91 49 00 00 00 00 |........)%.I....| -000003a0 00 00 47 43 cb 87 00 00 00 00 00 00 20 86 6e 94 |..GC........ .n.| -000003b0 00 00 00 00 00 00 16 34 8f a6 00 00 00 00 00 00 |.......4........| -000003c0 74 3a 54 7b 00 00 00 00 00 00 d1 40 1f a1 00 00 |t:T{.......@....| -000003d0 00 00 00 00 52 1a b5 18 00 00 00 00 00 00 41 01 |....R.........A.| -000003e0 2c b4 00 00 00 00 00 00 ed 00 e2 97 00 00 00 00 |,...............| -000003f0 00 00 27 4c b3 8d 00 00 00 00 00 00 73 46 20 74 |..'L........sF t| -00000400 00 00 00 00 00 00 6f 00 2d 87 00 00 00 00 00 00 |......o.-.......| -00000410 e5 4d 2a 1f 00 00 00 00 00 00 da 2e 73 26 00 00 |.M*.........s&..| -00000420 00 00 00 00 a2 da 22 33 00 00 00 00 00 00 d7 34 |......"3.......4| -00000430 fd f5 00 00 00 00 00 00 70 3b 7f 44 00 00 00 00 |........p;.D....| -00000440 00 00 3e 44 b8 ab 00 00 00 00 00 00 02 4c 89 8e |..>D.........L..| -00000450 00 00 00 00 00 00 5a 49 0b d4 00 00 00 00 00 00 |......ZI........| -00000460 9a bc 30 7e 00 00 00 00 00 00 85 b3 09 09 00 00 |..0~............| -00000470 00 00 00 00 92 18 4a 50 00 00 00 00 00 00 8a 6a |......JP.......j| -00000480 3a e4 00 00 00 00 00 00 b7 89 18 62 00 00 00 00 |:..........b....| -00000490 00 00 d5 67 f7 e5 00 00 00 00 00 00 2f 02 4d 33 |...g......../.M3| -000004a0 00 00 00 00 00 00 12 97 5d 9e 00 00 00 00 00 00 |........].......| -000004b0 45 ad cd 70 00 00 00 00 00 00 84 0c 79 6f 00 00 |E..p........yo..| -000004c0 00 00 00 00 ef 06 7c 03 00 00 00 00 00 00 cf 14 |......|.........| -000004d0 0f 44 00 00 00 00 00 00 8c ae af 5f 00 00 00 00 |.D........._....| -000004e0 00 00 c8 7c cb 7d 00 00 00 00 00 00 bc de 4d d9 |...|.}........M.| -000004f0 00 00 00 00 00 00 53 5c f7 93 00 00 00 00 00 00 |......S\........| +00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| crc check (0000 == 0000) diff --git a/xform b/xform index dbba9f614c54b6f0a6db5b188174a26821e04a7b..425cdcc547d32355f6ce552d1a57fc3865aa7cb2 100644 GIT binary patch delta 4178 zcmZ8k4OmoF8b0UVnc-d-h8e(^(Q*b61;QBy5&TOA|F|R-mX^B-gFJvtE20mhZa+3C zqRkQ;c+}iovhvyTr}hbBv$WLlFKo44DO0xHHtaEXC@ai%HM5=lzH=|AoaedcJMa7b zobUYJdrz;Wp+?$jwS@E@f<>(f3ALr~kWZO7M^3f<-6fX@DpipZDy$_-x6XUw+KC3MF&`>lTtWidH%m8#D)N);dW?9ju!s zuq@Ha%Ecm~x}NP2=Z+I0I3)r+gqYQi$co`UnGlhbYFwhv7fZm#$C7m&0Y^DEi-KC> zEwxe_FG)(X{>$MGqBMp4`Y;1tX*?z!y~PNckqIAxNhI|Q)NytXv=G;zQD1KaO6p$_ zV?KSSIX;3Ir=%|gI1owQj|3*(*TD_mM65&n634p{>k+qdydALtaRbNG0av#I=EeO@ zQLwvA34Wn8Ug$5GSJV|c9pfQN*Wq$5y3DeNgg1cW57lw@nPd)mXE98{d}UlY2&M6w zNeOP3r3kPl~J%ox#FIcbR9h4r$aaKDA@IlniSJr%6&c4#8rm5C(c2atjcR(8M z>9aWqSz(zEk;6-&=hFA}XKkH%hWmv8OtT}n`ciO3xOrAM*cIM!BNAy0)8MQd&9g2Y z?v4H3)z#tPS+yNJ(md-%@bJgigQsc#2S+iSvgVQpmN|If)OV4H5_~HJqEczrrKVx} z!CBYbA*E$=Kd^j2`*2?nZf!{Ie#jhB;9T(i zLt&Us?i~K}PmnXGY2yL(wm*HmtEmSXxazkAbTxApVwrx1SO5Z%h#FAY+PoP(f}5H! zTP>Wby9eW}Ja#is-v)?#dvb67FA}*Yk`H#aePwX6_P+=w2Y-MZ4-tUjWXSP$j|T7v z2978#yu;vG1=2bRvmduN6m0q@=5PRO;!kScjDteMtKh;7dvTf6ArIsTeaEe@fjK%j z2|7G91$(`89ap8P7u;307}>Kb8wNITb5LJDqDF$5Q0G8tRvj0B4D|~)$U3GPRl|Rjw z>8STTQB_x+R=2{xY=u8PV`92v>JZM6;h0EPk=m+Se^tHT1=8tT>SOz3#w#uosLew3;0@+G#C25A}AIQ*JvGQV4;*7;nFUSYn}o z7BhjW1bzPv_C@S$y%i3K(CIck6eqVDJfI_F8MBQXYmv0q4cy?ooMCfEdTA@$Gty4$ zS@+0%x|3P#c1yX=!}WNtV^LUv-IZ+BSlFg@$U=v_^lMnh+~X3M$Fy*x!|I-`b@i|& zt2Mb&lj}9PMU$_x0>{GWy;{1j>frr!$Eba9aqD;=572a#DY$E5;$Gf4q&2I zvFc=3>QZ3!KRyS9Rl1Jt>)3Wkx#;{8w$r2!+5Y5|v}ceZ6e&gSMN`T_iTo4-frAC| z6{a6GhQ7iwM~xYggNg)-yJM}K0<}Jo;>)d3ev#FVvIRDwKApCJW~=-M1Q{H>ByUG> zHwQcAT?jHc=#V=QIO#a>c}M;e=H#Lk07CNHsBzP$K^!B||3KB2C>sc?zI`E(QW+Y& zfK4LdZj<%fDv+1HWu}xFnLW@pWa0J{wnJrb&&K9_WXInJO;Zq>G!wJg%T}k@hViD* zwuG4DQ8NKGpRw0cYysXB+7?>igcF*J3ZbpW;v+P#0)v82d;?@aJq%Q?C=ZZ?;C+LI zw&M#wVGcGu*aY8%4vtjsN@9>OX8dueXd%X^)nYt|0Rm{-{`z2$!rOur4g`ts#1x9r z{gCF)4>I@uYS6p*Ht*s=?+?L`&PDG8$pb!cBk`ILJ8ieZwvMaN^nAQC(EJzMpsr)* zM~?{bCd^Udbnv2&q5|xO1+-YjS}dHjQdPN>kULT_N)-;iTQlVM35IAth<*MV)D-|B za>Cn4YiL2@798zjRkwr$%>Thqze0>(Jy`hF1CqA?1`cLI|Db``_MSH(#35?Lwhk}m zRg2DI+c9tA1E^cq%5}Zo!~@t>lLqS&akJ89Oj>L^;Z2$ga8CeiWf9-TSQ6vWWO|XJrJznMe~V&b3$5ckQxOii%P#7?XUAM zT7vJwdUiGa6A2qNzG{Ex$&3w@&C7fWuIld0B#Ia9Fi|2_E@il$5l549kV#ZxZGem< zi_2Kj!KI0WKqk>M>@aA}q!(lotz?%0#giV8J7@`8;~XXqhd6tj=ZgBZn;8bm%Lu3i zVj@}E9j(#CsqxE(@H2s@1fzAkT#z}A2&9WW#mzmfg zcS|Z)LjW<@~*8p!O!!ro}GTd5PG>4&wb|ae$LwSXr&rY)K z0~?C~!yDpz9JSHQc!U@t={rZ|n5mePJ-&0ogaT@Y?=z35u()XY3~#nG+c}|gMecB+ zvvs0_(jK;FlFQO6dUz_WqMA`Xy9}&O`)<%_a}&?plU>mRUlUdxdu(#9tY}6G+ci0F z@B^e=bJ@u*gUi6@i=<~6Q*td?+6K+Svk0YuEuZ3&J2bX~?V6GoKQNsk%_iQ!zMtZh z&uFUxD?2sMGO%{NF>0VjwtT89`qqE!skw5Gb`|w>_D$VDVIM4?27l+;1eUN})AHnj z=`3e`)ADIKOV7`zo0%^^Uq0?oBOhRU^7G`iV^rj2mjRvEmUIhqd-7sdrK>twBy7aU jyI8BoD%9n%-JWUD4VkLSEV1uBxpHfc3O{G=0{i~~39Dq> delta 4002 zcmZWs4Nz3q6~6bq{dtd{h2_U(F|tblWl>mA1VoVC1of@4iYY3l8j%Q*86&7*X;fB4 zG&_{Ya<$dOL~U&~O{_Z9RvUjnZHOHwgBjZz$ByWj6x*c1^bd{tdd_`ssnR>M=iKj{ z@7#0medpe{ue*izZJ`|r)_S9dVACWaWkJ^=xyZt8q$v2ATUG=Olrbya9&)tV#5---&Zwln8`^HCh{;G$z){gotF3Zi}m}jU>RSW?sWFf6JGW(q{D_`Ks%Szz3(sF08tlZbzT?X5w z^!D_Jq3V4{@{oZaL*PGaq8z1!oLuUxB=eQb3Q$VRkVOf6zHanM917QGU2+Z`YCn*- zqH#1?ask&>lcgKTStQI?HvheX6`Io0HF}f-cv^x@#W^rR(rBFzLl3Ni%u7BpCM9-l zH_Z|JfsGH_rax@yH}~{M2F^pbXGo-dOD-T++5Dx)LrCDv<#Vd41Wt5=TCTJi($Zlb zN?`g>PIqtXRWJf1f6Hv+{Xp-)K}Z2(Y4D?SD`5G2Jp+jkRkekiY0DW5boiTpue9$P zgf3^CBCyX&;7|Wh-beGz|2OxxUIQ{dy(dz;+qe}{=RJbd9BAp4-EF%tAh5F)ljzAc zU$JT?ezNZ369a7=Uc>Fjs#=UITW3G@2`u2ZK}dOaJ@n4K0b*d;x2if!J^|#wd8M7_ z2>elji4d4b)UUe(tv4|38I+{K*0u{*jl`P}0YrcAKs+!<%nQ;+ZCj(N>e-{1@Hi$+ zhJ;F>wIAX&>uI!xYt{j%hu zQ^egs95F#ND-O38j|aykEHYl0#JkYDz#CA#^S$M>+tZR_olunRnW(?(3;f#`_`_`1 z=L(+Qo=4)qIre7w%X8{#YSvaaIMbFF!Y_<8)zqzdE$bC5CqiDWZdh7X+ptvQq}RHf zMb5RguUFUAq}Mez)HgP`oEgpn@_kafs-|{jbyhE(QHI=;ffl2E7&Z3s9p6K_3Bq5%eX{cR)v|5D&M+26iQ4oFLt2 z4EU53x^u%k4-X=UBX%gl}m?c7< z0TWK^6?PA}`u{$Gi5`w&FY2&^NwE#;ctG^99?NT;&IFf9f47X%^;li!>3W8)7qDPvEbFHR zR_UA`R-;dLwE^A`qx^^AmNoDU68#P6h)*Hoi}3p&k9)ZgaPNd`1t3MqYzLM|r9o7J zxdfHLoWzZ$Z@AHDhP^ZxjJ2y8Ai(%KM&m$YOD%TG1d>6-t=Na*jzNe~GD*7-Qqz5a zLOlpW_93vCSF%;f?zER6-gtip7!sr}u>S!2PH8*_e~bNe=@dJkoRYo~Erg;;kuM{a za!@AYo5(0|P$A!Bk+?Y()gX)ksVW5k)1K2HZ zN07n6e)&xV<2g7i??NzvgJbeu1X(l_Vou6?aA-HJ0?;l06g@e#8Pr7*){CwmnJqL0 zmS)_$7_8DPWW0l2B27X6yH#kGuCq}o&-*Vz-;`YfPT?mYOgS^K>qYCN??Bhm2wm!W z4`k?Bjsr6`y7x9%qndQL5L`*3A438<5!vl}g}kVdft?|0Z0;6+`yq!Ky_*+OuI zwU*G_Dq!P4F^xD-F$3346MLAF=qkonJ~-U>MsrK=8H?$CH5BBG6zUksV!4W2aDCE)gxh z*j&4i0NiBai**Bs>|nRYeK>q0i7s|1{RO2_a_2}5Ul73{euzRCYXwD34JOgvA-yWC z*oW5BC?q$RGj)I88QzhKOj3*BV#Tg|Y)Zz}u2JK+QTEn^W?z%&fuv72k(deGsZSdL zi9{lyyZA|`NMw@EmSx(ghvj6(i{Ye?>j*N)btI`|J>ac}OE+GOBIWEJph}SO)XKij zw23i9VaZwXY))1uRoJa88?68t&n)iqbU(Y|w%Pmji#wDz3pxU^!25+}Bio+shU~uV znK?K0qdHBep3s?N%pPtD9pN*&y^i%{+w2!~?_$m&MDZonNin z^;@x!gs#PUaNs~Ar@lcC7;%2dW@epaqdQqnu8kgMUg-Y{`(aBtA1cGpwIw&2ij(s5 zyLL_X(yqVc*@Z5(Aequ`mR#tzh6=I?E=X*3Y#CTXSqJryL7i03ZcTN|m3pyNGJ8>eOlYs_ z@pwYJZDdtNS#r7lD9YKMqI_%U!BpxWt83Y&1mSTWOY}|)E1019hRm$WnLeWi diff --git a/xform.c b/xform.c index 82a931a..0d16474 100644 --- a/xform.c +++ b/xform.c @@ -1,25 +1,10 @@ #include #include #include +#include /* -bit 0 -> bit 7 -bit 1 -> bit 15 -bit 8192 -> bit 6 -bit 8193 -> bit 14 -bit 16384 -> bit 5 -bit 16385 -> Bit 13 -bit 24576 -> bit 4 -bit 24577 -> bit 12 -bit 32768 -> bit 3 -bit 32769 -> bit 11 -bit 40960 -> bit 2 -bit 40961 -> bit 10 -bit 49152 -> bit 1 -bit 49153 -> Bit 9 -bit 57344 -> bit 0 -bit 57345 -> bit 8 - +For mem = 65536 bits: bit 0 <- 0 bit 1 <- 8192 bit 2 <- 16384 @@ -36,6 +21,24 @@ bit 12 <- 40961 bit 13 <- 1281 bit 14 <- 49153 bit 15 <- 57345 + +For mem = 32768 bits: +bit 0 <- 0 +bit 1 <- 8192 +bit 2 <- 16384 +bit 3 <- 24576 +bit 4 <- 1 +bit 5 <- 8193 +bit 6 <- 16385 +bit 7 <- 24577 +bit 8 <- 2 +bit 9 <- 8194 +bit 10 <- 16386 +bit 11 <- 24578 +bit 12 <- 3 +bit 13 <- 8195 +bit 14 <- 16387 +bit 15 <- 24579 */ uint32_t xorshift32(uint32_t x) @@ -95,16 +98,15 @@ static uint16_t reverse_u16(uint16_t nonreversed) return reversed; } -static uint32_t get_bit_offset(int bit) { - return (8192 * (bit & 7)) + (bit >> 3); +static uint32_t get_bit_offset(int x, int total_bits) { + // return (8192 * (x & 7)) + (x >> 3); + int bitshift = ffs(total_bits)-1; + return ((x * 8192) % total_bits) + ((x*8192) >> bitshift); } int main(int argc, char **argv) { int i; - for (i = 0; i < 32; i++) { - printf("bit offset %d: %d\n", i, get_bit_offset(i)); - } // uint32_t test_1[] = {1}; // uint32_t test_2[] = {0, 1}; // uint32_t test_3[] = {2, 0}; @@ -127,23 +129,35 @@ int main(int argc, char **argv) // printf("test_4: bit %d set\n", i); // } - uint32_t input[2048] = {}; - uint32_t output[2048] = {}; + uint32_t input[512] = {}; + uint32_t output[512] = {}; + + for (i = 0; i < 32; i++) { + printf("bit %d: %d\n", i, get_bit_offset(i, sizeof(input)*8)); + } uint32_t init = 1; for (i = 0; i < sizeof(input) / 4; i++) { init = get_rand(init); input[i] = init; + // input[i] = 0; } + /* + input[0] = 0xf0000000; + input[256] = 0xf0000000; + input[512] = 0xc0000000; + input[768] = 0xc0000000; + input[1024] = 0xc0000000;*/ // print_hex(input, sizeof(input), 0); // return; + // memset(input, 0xff, sizeof(input)); for (i = 0; i < sizeof(input) * 8; i++) { int bit; - assert(get_bit_offset(i) < sizeof(output) * 8); - bit = get_bit(input, get_bit_offset(i)); + assert(get_bit_offset(i, sizeof(output)*8) < sizeof(output) * 8); + bit = get_bit(input, get_bit_offset(i, sizeof(input)*8)); // bit = get_bit(input, i); // if (bit) // printf("bit %d is set\n", i); @@ -189,7 +203,7 @@ int main(int argc, char **argv) // o16[i] = reverse_u16(o16[i]); // print_hex(output, sizeof(output), 0); - FILE *infile = fopen("infile.txt", "w"); + FILE *infile = fopen("memtest/mem.init", "w"); FILE *outfile = fopen("outfile.txt", "w"); for (i = 0; i < sizeof(input)/4; i++) { fprintf(infile, "%08x\n", input[i]);