diff --git a/memtest/.build/memtest.asc b/memtest/.build/memtest.asc index 8c9ab40..126f2f3 100644 --- a/memtest/.build/memtest.asc +++ b/memtest/.build/memtest.asc @@ -95,16 +95,16 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 +000000000000000100 +000000000000001100 001000000000000000 000000000000000000 000000000000000000 -000100000000000000 +100100000000000000 000000000000000000 -010000000000000000 -000000000000000100 -000000000000000001 +000000000000000000 +000010000000000100 +000000110000000001 000000000000000000 000000000000000000 @@ -170,7 +170,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000001000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -201,24 +201,6 @@ .io_tile 12 0 000000000000000000 000000000000000000 -000000110000000000 -000000001000000000 -000000000000001100 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 - -.io_tile 13 0 -000000000000000000 -000000000000000000 000000000000000000 000000000000000000 000000000000001100 @@ -232,7 +214,25 @@ 000000000000000000 000000000000000000 000010000000000000 -000001010000000000 +000000110000000000 + +.io_tile 13 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 .io_tile 14 0 000000000000000000 @@ -657,7 +657,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2529,7 +2529,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000010010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -4401,7 +4401,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4861,7 +4861,7 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 10 -000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5222,21 +5222,21 @@ .ramb_tile 6 11 000000000000000000000000000000000000000000 +000000010000000000000000001011000000000000 +111000000000000000000000010000000000000000 +000000000000000000000011101111000000000000 +110000000000000000000000010000000000000000 +110000000000000000000011110011000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000011000000000000 +000000000000001011100011110000000000000000 +000000000000001011000111000111000000100000 +000000000000000000000000010000000001000000 +000000000000000000000011000111001110000000 +000000000000001011100010011000000001000000 +000000000000000011100011011011001001000000 +110000000000000001000000000000000000000000 +110000000000000111000000001011001011000000 .logic_tile 7 11 000000000000000000000000000000000000000000000000000000 @@ -5263,8 +5263,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5282,10 +5282,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5302,7 +5302,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5347,17 +5347,17 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 13 11 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5366,18 +5366,18 @@ .logic_tile 14 11 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5423,12 +5423,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5445,32 +5445,32 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000100100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000001001010000000000000000000000000000000000000000000 +000010000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 11 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000001000010010000000000000000 +000000010000001001000111111001000000000000 +111000000000001111100111101000000000000000 +000000000000001111100100000001000000000000 +110000000000000000000000001000000000000000 +110000000000000000000000000001000000000000 +000000000000000000000000011000000000000000 +000000000000000000000011111001000000000000 +000000000000001000000000000000000000001000 +000000000000000111000000000001000000000000 +000000000000000000000000010000000001000000 +000000000000000001000011000001001011000000 +000000000000000000000010001000000000000000 +000000000000000000000000001011001100000000 +110000000000000000000000000000000001000000 +010000000000001111000000001001001110000000 .logic_tile 20 11 000000000000000000000000000000000000000000000000000000 @@ -5689,22 +5689,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 12 +000000010000000000000000000000000000000000 +000000010000000000000011100000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000001 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 .logic_tile 7 12 000000000000000000000000000000000000000000000000000000 @@ -5712,11 +5712,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5786,11 +5786,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5857,18 +5857,19 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 .logic_tile 16 12 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5877,8 +5878,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -5923,34 +5923,34 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 12 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000100 000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000 +000000000000000111000000000000000000000000 +000000000000000000000010000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 20 12 000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6157,22 +6157,22 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 13 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010100011000000000000010000000000000000 +000000010110100000000011101101000000000000 +111000000000000111000011101000000000000000 +000000000000000000100100001001000000000000 +110000000000101111000111100000000000000000 +110000000000000011100011100101000000000000 +000000000000000000000111101000000000000000 +000000000000000111000000000001000000000000 +000010100010010000000000001000000000000000 +000000000010000000000000001101000000100000 +000000000000000000000000000000000001000000 +000000000000000001000000000101001010000000 +000000000100101000000010000000000001000000 +000001000110000111000100001001001100000000 +110000000000000001000000000000000000000000 +110000000000000000100000000111001011000000 .logic_tile 7 13 000000000000000000000000000000000000000000000000000000 @@ -6203,8 +6203,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6214,7 +6214,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6231,6 +6231,9 @@ .logic_tile 10 13 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6240,11 +6243,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 .logic_tile 11 13 000000000000000000000000000000000000000000000000000000 @@ -6273,11 +6273,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6292,9 +6292,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6302,6 +6302,10 @@ .logic_tile 14 13 000000000000000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6309,18 +6313,14 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 15 13 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6338,15 +6338,15 @@ .logic_tile 16 13 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100100000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6364,7 +6364,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6373,56 +6373,56 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 18 13 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001001000000000000000000000000000000000000000000000 +000010000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000010100000001011000000000000000000000000000000000000 +000000000000001000000000000011101101110011000000000000 +000000000000000101000000000101001010001100110000000100 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 13 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000111101000000000000000 +000000010000000000000100001111000000000000 +111000000000000000000111110000000000000000 +000000000000000000000111101111000000000000 +110000000000000000000000001000000000000000 +110000000000000000000000001101000000000000 +000000000000000000000111101000000000000000 +000000000000000000000000001001000000000000 +000010100000001000000000001000000000000000 +000001000000000111000000001001000000000000 +000000000000000111100010000000000001000000 +000000000000000001100000001001001101000000 +000000000000000000000011110000000001000000 +000000000000000000000011110011001100000000 +110000000000000011100111101000000001000000 +110000000000000000100010000011001111000000 .logic_tile 20 13 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6625,26 +6625,26 @@ 000000010000000000000000000000000000000000000000000000 .ramt_tile 6 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000001000000000000000000000000 +000000000000000000100000000000000000000000 +000000010000000000000000000000000000000001 +000000011000000000000011100000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 +000010010000000000000000000000000000000000 000000010000000000000000000000000000000000 +010000010000000000000000000000000000000000 +110000010000000000000000000000000000000000 .logic_tile 7 14 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6707,7 +6707,7 @@ 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000011000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6779,7 +6779,7 @@ 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000011110000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6805,7 +6805,7 @@ 000000010000000000000000000000000000000000000000000000 .logic_tile 16 14 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6814,10 +6814,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -6859,26 +6859,26 @@ 000000010000000000000000000000000000000000000000000000 .ramt_tile 19 14 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000000010000000000000000000000000000000010 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000010000000000000010000000000000000000 +000000010000000000000100000000000000000000 +110000010000000011100000000000000000000000 +110000010000000000000000000000000000000000 .logic_tile 20 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000001100100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -6889,8 +6889,8 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010000010000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7093,22 +7093,22 @@ 000000010000000000000000000000000000000000000000000000 .ramb_tile 6 15 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000000000000000000000000001000000000000000 +000000010000000000000000000101000000000000 +111000000000000000000000000000000000000000 +000000000000000000000000001111000000000000 +110000000000000000000000010000000000000000 +110000000000000000000011110011000000000000 +000000000000000111100000001000000000000000 +000000000000000000000000000011000000000000 +000000010000001011100000011000000000000000 +000000010000001011100011011011000000100000 +000000010000000011100000010000000001000000 +000000010000001001000011000011001110000000 +000000010000000000000010010000000001000000 +000000010000001111000011001011001100000000 +110000010000000001000000000000000000000000 +110000010000000111000000000111001011000000 .logic_tile 7 15 000000000000000000000000000000000000000000000000000000 @@ -7118,7 +7118,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7131,10 +7131,10 @@ .logic_tile 8 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7150,14 +7150,14 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000011010000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7169,7 +7169,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7220,11 +7220,11 @@ .logic_tile 13 15 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7233,24 +7233,24 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 +000000010001010000000000000000000000000000000000000000 .logic_tile 14 15 +000000000010000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100110000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000001110100000000000000000000000000000000000000000000 +000001010000010000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000010110001000000000000000000000000000000000000000000 +000000010000100000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7296,7 +7296,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -7309,40 +7309,40 @@ 000000010000000000000000000000000000000000000000000000 .logic_tile 18 15 +000000001010000000000000000000000000000000000000000000 +000000100000001111000000000000000000000000000000000000 +000000000000010000000000000001011011001100110000000000 +000000000000100000000000001101001110110011000010000000 +000000000110000000000000010000000000000000000000000000 +000010100000000000000011000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010110000000000000000000000000000000000000000000 +000000111101000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 +000000010000001000000000000000000000000000000000000000 +000000010000000101000000000000000000000000000000000000 .ramb_tile 19 15 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000000000000000111100000001000000000000000 +000000010000000000100000001001000000000000 +111000000000000001000000011000000000000000 +000000000000000111100011100101000000000000 +110000000000000000000111111000000000000000 +110000000000000000000111110101000000000000 +000000000000001111100000001000000000000000 +000000000000000111100000000011000000000000 +000000010000000000000010000000000000000000 +000000010000001001000100000011000000000000 +000000010000001111000000001000000000000000 +000000010000000011100000000001001000000000 +000000010000000000000010001000000000000000 +000000010000000000000000000011001011000000 +010000010000000000000000000000000000000000 +010000010000000000000000001011001011000000 .logic_tile 20 15 000000000000000000000000000000000000000000000000000000 @@ -7561,6 +7561,13 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 16 +000000010000000000000000000000000000000000 +000000010000000000000011100000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000001000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -7568,27 +7575,20 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 .logic_tile 7 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7654,15 +7654,15 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7687,18 +7687,18 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 13 16 +000000000000000000000000000000011010000100000100000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000001101000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011010000100000100000000 +000000000000000000000000000000010000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7723,24 +7723,26 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 15 16 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 16 +000000000100000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7748,9 +7750,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -7795,22 +7795,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 16 +000010010000000000000000000000000000000000 +000001010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000010000000000000000000000000000 +110000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000001000000000000000000000000000 +000000000000000111000000000000000000000000 +000000100000000000000010000000000000000000 +000001000000000000000000000000000000000000 +110000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 20 16 000000000000000000000000000000000000000000000000000000 @@ -7820,9 +7820,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8012,69 +8012,69 @@ .logic_tile 5 17 000000000000000000000000000000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000101000000000000000100000000 -000000000000000111000000000000000000000001000010000000 -000010000001010000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 +111000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000011000000100000100000000 +000000000000000000000000000000000000000000000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011001000000100000000000000 -000000000000000000000000000000110000000001000010000011 .ramb_tile 6 17 -000000000000000000000000000000000000000000 -000000010000000000000000001101000000000000 -001000000000000000000000000000000000001000 -000000000000000000000011111101000000000000 -110000000000001000000111101000000000000000 -110000000000000111000110001001000000000000 -000010000000011101100110110000000000000000 -000001000110101111100011010101000000010000 -000000000001000000000000000000000000000000 -000000000000000001000000000011000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000101000000100000 -000000000000001000000111101000000000000000 -000000000000000101000000001101000000000000 -010010100000000000000000000000000001000000 -110001001100000000000000000111001011100000 +000000000001000111000000011000000000000000 +000000010000000000100011101101000000000000 +111010000000000111000110101000000000000000 +000001000001000000100111111001000000000000 +110000000000001000000011100000000000000000 +010000001000101111000100001101000000000000 +000010000001010001000011101000000000000000 +000001001110100111000100000001000000000000 +000000000001000000000000001000000000000001 +000001000010000000000010001001000000000000 +000010000000000000000000000000000001000000 +000001000010000000000000000001001010000000 +000000100000000000000010000000000000000000 +000000001000100000000000001001001111000000 +010000000001010000000000000000000001000000 +110000001110100001000000000101001110000000 .logic_tile 7 17 -000000000001000000000000001000000001001100110010000000 -000000000000000000000000001101001100110011000001000100 -001000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000001010000000110000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000011100000100000110000000 -000000000000001111000011100000010000000000000010000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000001011111001110011000000000000 +000000001100000000000000000111001111001100110000000001 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000001010000000000000000000000000010000001000000 -000001000000100000000000000000000000000000000011000100 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000011100000000000000000000000000000 .logic_tile 8 17 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000111100000000000001100001100110010000001 +000000000000000000100000000001000000110011000011100100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8083,40 +8083,40 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 9 17 -000000000000000000000000000000001110000100000100000000 -000000000000000000000000000000010000000000000000000000 -001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000010011100000000000000100000000 -000010000000000000000010100000100000000001000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000010000000000000000000000000000000000000100100000000 -000001100000000000000000000000001101000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 10 17 -000000000000001111100000000001000000000000001000000000 -000000000000000101100000000000000000000000000000001000 -000000000000000101100000000111100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000000101000000000000001000001100111010000000 -000000000000000000100000000000001000110011000000000100 -000000000000000000000110100101101000001100111000000000 -000000000000001101000010110000100000110011000001000001 -000000000000000000000000000101101000001100111000000100 -000000000000000000000000000000000000110011000011000100 -000000000000000000000000000000001001001100111000000100 -000010100001000000000000000000001011110011000011000100 -000000000000000000000000000001101000001100111000000100 -000000000000000000000000000000000000110011000001000100 -000000000000000000000111100101001000001100111000000100 -000000000000000000000100000000000000110011000011000100 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000001000010000000000000000000000000000000000000000 +000000000001100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000111010000000000000000000000000000000000000000 +000011100001100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001001010000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010001001010000000000000000000000000000000000000000 +000001101101100000000000000000000000000000000000000000 .logic_tile 11 17 000000000000000000000000000000000000000000000000000000 @@ -8125,8 +8125,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8137,52 +8137,52 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 17 +000000000000000001100000000011100000000000000100000000 +000000000000000000000000000000000000000001000000000000 +111000000000000000000000000000001111000100100010000000 +000000000000000000000000000000001111000000000011000011 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000101100000000000000000000000000010000001 +000000000000000000000000000000000000000000000011100101 +000000000000000111100000000001000000000000000100000000 +000000000000000000100000000000000000000001000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 .logic_tile 13 17 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000101000000000000001000000000000000001000 +000000000000000101000000000000000001000000001000000000 +000000000000001111000000000000001011000000000000000000 +000000001000001000000000000111101000001100111010000000 +000000000000000101000000000000000000110011000001000011 +000000000000000000000010110101101000001100111010100000 +000000000000000000000110100000100000110011000011000011 +000000000000000000000000000000001000001100111000000001 +000000000000000000000000000000001000110011000011000111 +000000000000000000000000000000001000001100111010000001 +000000000000000000000000000000001010110011000011000011 +000000000000000000000000010111001000001100111010100001 +000000000000000000000010100000100000110011000011000101 +000000000000001000000000000000001001001100111000000001 +000000000000000101000000000000001000110011000011000101 .logic_tile 14 17 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000010101100000000000000100000000 +000000000000000000000010100000100000000001000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000101000000000000000100000000 +000000000000000000000000000000100000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8192,37 +8192,37 @@ .logic_tile 15 17 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 17 +000001000110100000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001011010000000000000000000000000000000000000000 +000000100001110000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000010101111100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000010101010100000000000000000000000000000000000000000 +000011100000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8238,11 +8238,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000001001000100000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 .logic_tile 18 17 000000000000000000000000000000000000000000000000000000 @@ -8263,40 +8263,40 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 17 +000000000000000000000000001000000000000000 +000000010000000000000000001011000000000000 +111000000000000000000000001000000000000000 +000000000000000000000000000111000000000000 +110000000000000000000000000000000000000000 +110000001110000000000000001011000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000001011000000000000 +000000000000000000000111110000000000000000 +000000000000000000000011100111000000000000 +000000000000000001000010010000000001000000 +000000000010000001000011100111001100000000 +000000000000001111100011101000000001000000 +000000000000001111000000001111001100000000 +110000000000001011100111111000000001000000 +110000001000001111100111111111001101000000 .logic_tile 20 17 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000001000010000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000100000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000010000001010000000000001101111110001100000000000000 +000001000000100000000000000011000000000011000000000100 +000000100001001000000000000000000000000000000000000000 +000000000010001001000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000010001101000000000000000000000000000000000000 .logic_tile 21 17 000000000000000000000000000000000000000000000000000000 @@ -8497,37 +8497,37 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 18 -000000000000000000000000010000000000000000 -000000010000000000000011110000000000000000 -011000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 010000000000000000000000000000000000000000 -010001000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000100000000000000000000000000000 +000000000000000001000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -110010100000000000000000000000000000000100 -010001000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 .logic_tile 7 18 000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000001100100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000001110100000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8561,7 +8561,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8569,36 +8569,36 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 10 18 -000000000000000000000000000000001000001100110000000000 -000000000000000000000000000000001011110011000010110100 -001000000000000000000000000000011010000100000100000000 -000000000000000101000000000000010000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000101000010100011000000000000000100000000 -000010000000000000000000000000000000000001000000000000 -000000000000001000000000001000000000000000000100000000 -000000000000000001000000000001000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 11 18 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000001100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8623,22 +8623,22 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 13 18 +000000000000000000000000000011001000001100111010000001 +000000000000000000000000000000100000110011000001110010 +111000000000000000000000010000001001001100111010000001 +000000000000000000000010000000001100110011000001100001 +000000000000001000000010100000001001001100110010000001 +000000000000000001000000000000001001110011000001100001 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000110010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000001100000000000001110000100000100000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000001100000000000000000000000100100000000 +000000000000000000000000000000001101000000000000000000 +000000000000000000000000000000001010000100000100000000 +000000000000000000000000000000010000000000000000000000 .logic_tile 14 18 000000000000000000000000000000000000000000000000000000 @@ -8661,8 +8661,8 @@ .logic_tile 15 18 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8677,20 +8677,20 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 16 18 +000001000000000000000000000000000000000000000000000000 +000010001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000111010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8731,24 +8731,32 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 18 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000 +000000000000001111000000000000000000000000 +000000000000000000000010000000000000000000 +000000000000000000000100000000000000000000 +110000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 20 18 +000010000001010000000000000000000000000000000000000000 +000001001110100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -8756,15 +8764,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 .logic_tile 21 18 000000000000000000000000000000000000000000000000000000 @@ -8947,65 +8947,65 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 5 19 -000000000000000001100110000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -000000000000000000000000001011101100110011000000000000 -000000000000000000000010010011011000001100110001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000110101011001001001100110000000001 -000000000000000000000010001001111110110011000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 19 -000000000000000111100011101000000000000000 -000000010000000000000011111001000000000000 -001000000000000111000000000000000000000000 -000000000000000000100011110001000000000000 -110000000000000000000010000000000000000000 -110000000000001111000100001001000000000000 -000000000000000001000011100000000000000000 -000000000000000000000000000101000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000001101000000000000 -000000000000000011100000000000000000000000 -000000000000000000000000001001000000000000 -000000000000000000000111001000000000000000 -000000000000000000000000001101000000000000 -010000000000000000000000001000000001000010 -110000000000000000000000000011001000000000 +000000000000000000000000011000000000000000 +000000010000000000000011110001000000000000 +111000000000001111100111101000000000000000 +000000000000001111000100000001000000000000 +110000000000001000000111100000000000000000 +110000000000001111000000001101000000000000 +000000000000001000000111111000000000000000 +000000000000000111000011101101000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000001011000000000000 +000000000000000000000000010000000001000000 +000000000000000001000011001111001001000000 +000000000000000000000010011000000000000000 +000000000000000000000011011101001001000000 +110000000000000000000000001000000000000000 +010000001110000000000000000101001010000000 .logic_tile 7 19 -000001000000000101100000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100011000001000000110000000000 -000000000000000000000000000111101110000011000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111011001001100110000000000 +000000000000000000000000000001001010110011000010000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000101000010100000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -000000100001001001100000000111000001000000110000000001 -000001000000100001100000000001101110000011000000000000 .logic_tile 8 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9021,38 +9021,38 @@ .logic_tile 9 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000100100000000000000000000000000000000000000000 +000010100000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000001010000000000000000000000000000000000000000 +000010001011100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001001010000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 .logic_tile 10 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 .logic_tile 11 19 000000000000000000000000000000000000000000000000000000 @@ -9067,18 +9067,18 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 12 19 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9093,36 +9093,36 @@ .logic_tile 13 19 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000110010000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000100100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 14 19 +000001001110100000000000000000000000000000000000000000 +000010100001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001001110000000000000001011111100000011000000000000 +000000100000000000000000000011010000001100000010000000 +000000000000100111000111100000000000000000000000000000 +000000000000000001100100000000000000000000000000000000 +000001001110000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9155,9 +9155,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9173,7 +9173,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9181,58 +9181,58 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 18 19 +000000000000001000000111110001011010001100110100000000 +000000000001000111000011001001101000110011000001000000 +111000000000000000000000000000000000000000000000000000 +000000001000100000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000001000000000000000000000000000000000000000000 +000000100000001000000000000000000000000000000000000000 +000000000000100111000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000010000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .ramb_tile 19 19 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000001000111101000000000000000 +000000010000000000100100000001000000000000 +111000000000001000000111110000000000000000 +000000000000001111000011100101000000000000 +010000000000001000000011111000000000000000 +110000000000001111000011111111000000000000 +000000000000000011100010000000000000000000 +000000000000000000100100001101000000000000 +000000000000000001000000011000000000000000 +000000000000000000100011011101000000000000 +000000000000000000000000000000000001000000 +000000000000000000000000000001001001000000 +000000000000000000000000000000000001000000 +000000000000000000000000001011001001000000 +010000000000000000000111000000000000000000 +110000000000000000000000000011001001000000 .logic_tile 20 19 +000000000000001111000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000111000100000000000000000000000000000000 +000000000000000000000000001001100000000000110000000000 +000000000000000000000000001101101000000011000001000000 +000000100000001000000110000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001111100001100110000000000 +000000000000000000000000000101001000110011000000000000 .logic_tile 21 19 000000000000000000000000000000000000000000000000000000 @@ -9433,25 +9433,26 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 20 -000000000000000000000000000000000000000000 -000000011010000000000000000000000000000000 -011000000000000000000000000000000000000000 -100000011010000111000000000000000000000000 -110000000000000000000000000000000000000000 -110000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000001100100000000000000000000000000000 -000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011010010000000000000000000000000000000000 +100001011100000000000000000000000000000000 +010000000000000000000111100000000000000000 +110000000000000000000100000000000000000000 +000010100000000111100000000000000000000000 000001000000000000000000000000000000000000 +000000000000000000000000000000000000100000 +000000000000000000000000000000000000000000 000010000000010000000000000000000000000000 000001001100100000000000000000000000000000 -000000000000000000000111000000000000000000 000000000000000000000000000000000000000000 -010010000000000000000000000000000000000000 -010001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000001010000000000000000000000000000 +110000000000100000000000000000000000000000 .logic_tile 7 20 000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9459,18 +9460,17 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 000000000001010000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 .logic_tile 8 20 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9490,6 +9490,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9497,8 +9498,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9507,26 +9507,24 @@ .logic_tile 10 20 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000100100000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 .logic_tile 11 20 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9535,6 +9533,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9542,7 +9542,7 @@ .logic_tile 12 20 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9565,12 +9565,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000011000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9583,26 +9583,26 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000010100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 .logic_tile 15 20 +000000000000000000000000000000000000000010000010100000 +000000000010000000000000000000000000000000000011100111 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9613,8 +9613,8 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 16 20 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9657,8 +9657,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9667,24 +9667,26 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 20 +000010010000000000000000000000000000000000 +000001010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100001010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 +000000000001000111100000000000000000000000 +000000000000000000100000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000100000 000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000010000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 .logic_tile 20 20 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9693,11 +9695,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9883,64 +9883,64 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 5 21 -000000000000000001000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -001000000000000011100000011011011111001100110000000000 -000000000000000000000011100011101000110011000000000000 -000000000000000000000111011111101100000011000000000000 -000000000000000000000010001101100000001100000000000000 -000000000000000111100110010000000000000000000000000000 -000000000000000000000111110000000000000000000000000000 -000000000000000001100010000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000000000000000001001101110011000000000000 -000000000000000000000000001101011101001100110000000000 -000000000000000101000000011001111010001100110100000001 -000000000000000000000010001101101011110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 6 21 -000000001100000000000000001000000000000000 -000000010000000111000010010101000000000000 -001000100000001011100111100000000000000010 -000001000000000011000000000011000000000000 -010000000000000001000010000000000000000000 -010000000000000000000000000101000000000000 -000000000000000001000000000000000000000000 -000000000000000111000000001011000000001000 -000000000000000001000010000000000000000000 -000000000000000000000000001101000000000000 +000000000000000111000111001000000000000000 +000000010000000000000100000101000000000000 +111010000000001000000000011000000000000000 +000000000000000011000011000101000000000000 +110000000000001000000111010000000000000000 +110000000000000011000011011101000000000000 +000010100000010011100000000000000000000000 +000001001100100001000000001001000000000000 000000000000000000000000001000000000000000 -000000000000000000000000001001000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000001000000000000 -010000000001010000000000001000000001000000 -010000000000000000000000000001001010000000 +000000000000000000000000001001000000000001 +000010100000010000000010000000000000000000 +000000001100100001000000001101001000000000 +000000000000000000000010001000000001000000 +000000000000000000000000000001001100000000 +110010100001010001000000000000000001000000 +110001000000000000000000000101001101000000 .logic_tile 7 21 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000001000000110101011000001000000110000000000 -000000000000000111000000000101101100000011000001000000 -000000000000000000000111010000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -000000000000000001100111001101111111110011000000000000 -000000000000000000000000001011111001001100110000000000 -000000000000000101000011100000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000010100111001110001100110000000000 -000000000000000000000100001101011101110011000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 .logic_tile 8 21 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9958,7 +9958,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9967,6 +9966,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -9975,20 +9975,20 @@ .logic_tile 10 21 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000010100000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 .logic_tile 11 21 000000000000000000000000000000000000000000000000000000 @@ -10005,7 +10005,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 12 21 @@ -10053,8 +10053,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10075,9 +10075,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 16 21 @@ -10089,7 +10089,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10099,19 +10099,19 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 17 21 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10126,48 +10126,48 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 21 +000000000000100000000111100000000000000000 +000000010000010000000111110011000000000000 +111000000000000000000000000000000000000000 +000000000000000000000000001101000000000000 +010000000000000000000010000000000000000000 +010000000000000001000010001011000000000000 +000000000000000000000010000000000000000000 +000000000000000000000000001111000000000000 +000000000000000001000000011000000000001000 +000000000000000001000011101101000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000101001001000000 +000000000000000000000000000000000001000000 +000000000000000001000011111101001111000000 +110000000000000011100010000000000000000000 +110000000000000000100000000011001110000000 .logic_tile 20 21 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 21 21 @@ -10366,43 +10366,43 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 6 22 -000000000000000000000000010000000000000000 -000000010000000000000011110000000000000000 -011000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 010000000000000000000000000000000000000000 -010001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000 +000000000000001000000000000000000000000000 +000000001010000111000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -110000000000000011100000000000000000000000 -110000000000000000100000000000000000000000 +110000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 7 22 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001001010000000000000000000000000000000000000000 +000000001100100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 .logic_tile 8 22 000000000000000000000000000000000000000000000000000000 @@ -10424,16 +10424,16 @@ .logic_tile 9 22 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10448,19 +10448,20 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 11 22 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10468,17 +10469,16 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 .logic_tile 12 22 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10501,12 +10501,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10520,7 +10520,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10531,6 +10531,9 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 15 22 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10538,10 +10541,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10553,8 +10553,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10569,12 +10569,12 @@ .logic_tile 17 22 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10591,34 +10591,34 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 22 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000001 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000010000000000000000000 +000000000000000000000100000000000000000000 +110000000000000011100000000000000000000000 +110000000000000000000000000000000000000000 .logic_tile 20 22 000000000000000000000000000000000000000000000000000000 @@ -10629,13 +10629,13 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000010100001 -000000000000000000000000000000000000000000000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 21 22 @@ -10837,40 +10837,40 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 23 -000000000000000000000011100000000000000000 -000000010000000000000011100101000000000000 -001000000000001111000000001000000000000010 -000000000000001011000000000101000000000000 -110000000000000111000000000000000000000000 -110000000000000111000000001001000000000000 +000000000000000111100111100000000000000000 +000000010000000000100111101101000000000000 +111000000000000111000000011000000000000000 +000000000000000000000011010001000000000000 +110000000000000000000011111000000000000000 +110000000000000000000011011001000000000000 +000000000000001011100111101000000000000000 +000000000000001011100111101101000000000000 000000000000000000000000000000000000000000 -000000000000000111000000000101000000000001 -000000000000000000000010001000000000000000 -000000000000000000000000000111000000000000 -000000000000001000000000000000000000000000 -000000000100001111000000001001000000000100 -000000000000000000000111001000000000000000 -000000000000000000000100000001000000000000 -110000000000000001000000000000000001000000 -010000000000000000000000001011001000000100 +000000000000000000000000001001000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000000101001011000000 +000000000000000000000010001000000001000000 +000000000000000000000000001101001010000000 +110000000000000001000000000000000000000000 +110000000000000000000000000001001001000000 .logic_tile 7 23 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000001111111011110011000000000000 +000000000000000000000000000001001100001100110000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000110000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -000000000000000000000000000111000000000000110000000000 -000000000000000000000000000011101101000011000000100000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 .logic_tile 8 23 000000000000000000000000000000000000000000000000000000 @@ -10897,15 +10897,15 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 10 23 @@ -10948,7 +10948,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -10974,7 +10974,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11019,7 +11019,7 @@ .logic_tile 16 23 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11049,44 +11049,44 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 .logic_tile 18 23 +000000001100100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000010100001010001000000000000000000000000000000000000 +000000000000000001100000000101111110001100000000100000 +000000000000000000000000000101000000000011000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000011000001000000110000000000 +000000000000000000000000000111001110000011000000000000 +000001000000101000000000000000000000000000000000000000 +000010100001010011000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 .ramb_tile 19 23 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000001001000000001000000000000000 +000000010000001111000000000001000000000000 +111000000000001000000111111000000000000000 +000000000000000111000011101101000000000000 +110000000000000000000010011000000000000000 +110000000000000000000111111001000000000000 +000000000000001011100010010000000000000000 +000000000000001111100111111101000000000000 +000000000000000001000000000000000000000000 +000000000000000000100000001101000000000000 +000000000000000000000000000000000001000000 +000000000000000000000000000001001001000000 +000000000000000000000010001000000000000000 +000000000000000000000000001101001000000000 +010000000000000000000000000000000001000000 +110000000000000000000000000011001010000000 .logic_tile 20 23 000000000000000000000000000000000000000000000000000000 @@ -11099,8 +11099,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11305,22 +11305,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 24 -000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -011000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 100000010000000000000000000000000000000000 010000000000000000000111100000000000000000 110000000000000000000100000000000000000000 -000000000000000011100000000000000000000000 +000000000000000111100000000000000000000000 000000000000000000100000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000001 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000100 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 010000000000000000000000000000000000000000 -110000000000000000000000000000000000000001 +110000000000000000000000000000000000000000 .logic_tile 7 24 000000000000000000000000000000000000000000000000000000 @@ -11370,7 +11370,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000100001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11441,8 +11441,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11513,8 +11513,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11539,22 +11539,22 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 19 24 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 +000000000000010011100000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000001 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 .logic_tile 20 24 000000000000000000000000000000000000000000000000000000 @@ -11586,7 +11586,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11773,22 +11773,22 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 6 25 +000000000000001000000111001000000000000000 +000000010000001011000000000101000000000000 +111000000000000000000000000000000000000000 +000000000000000000000000001001000000000000 +110000000000001011100111000000000000000000 +010000000000000011100111101101000000000000 +000000000000001011100000011000000000000000 +000000000000000011000011000101000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000010000001000000100000 +000000000000000000000010001000000000000000 +000000001100000001000000001101001011000000 +000000000000000000000000000000000001000000 +000000000000000000000000000101001111000000 +110000000000000000000010000000000001000000 +010000001100000000000000000101001101000000 .logic_tile 7 25 000000000000000000000000000000000000000000000000000000 @@ -11833,7 +11833,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11849,17 +11849,17 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 11 25 @@ -11918,7 +11918,7 @@ .logic_tile 14 25 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11979,8 +11979,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -11989,16 +11989,16 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 18 25 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12007,22 +12007,22 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 19 25 +000000000000000000000111100000000000000000 +000000010000000000000111100011000000000000 +111000000000000000000000000000000000000000 +000000000000000000000000001101000000000000 +010000000000000000000010001000000000000000 +010000000000000001000010001111000000000000 +000000000000000000000010000000000000000000 +000000000000000001000000000111000000000000 000000000000000000000000000000000000000000 +000000000000000001000000001101000000000100 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000101001001000000 +000000000000001000000010001000000000000000 +000000000000000111000000001101001111000000 +110000000000000001000011101000000001000000 +110000000000000001000100000101001110000000 .logic_tile 20 25 000000000000000000000000000000000000000000000000000000 @@ -12226,7 +12226,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12241,22 +12241,22 @@ 000000010000000000000000000000000000000000000000000000 .ramt_tile 6 26 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000001000000000000000000000000 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000010000000000000011100000000000000001 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 +010000010000000000000000000000000000000000 +110000010000000000000000000000000000000000 .logic_tile 7 26 000000000000000000000000000000000000000000000000000000 @@ -12316,7 +12316,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12471,26 +12471,26 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000110000000000000000000000000000000000000000000000 -000001010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000000000000000 .ramt_tile 19 26 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000010000000000000000000000000000000000 +011000010000000000000000000000000000000000 +100000010000000000000000000000000000000000 +110000000000000000000000000000000000000000 +110000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000010000000000000000000000000000000100 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000010000000000000010000000000000000000 000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000010000000000000000000000000000000000 +110000010000000011100000000000000000000000 +110000010000000000000000000000000000000000 .logic_tile 20 26 000000000000000000000000000000000000000000000000000000 @@ -12675,8 +12675,8 @@ .logic_tile 4 27 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12720,7 +12720,7 @@ 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000010100000000000000000000000000000000 +000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 000000010000000000000000000000000000000000 @@ -12748,7 +12748,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -12828,7 +12828,7 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000010010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -12918,7 +12918,7 @@ 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000000000000000 +000000010110000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 000000010000000000000000000000000000000000000000000000 @@ -13385,7 +13385,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -13637,8 +13637,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -13660,7 +13660,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 .logic_tile 7 29 000000000000000000000000000000000000000000000000000000 @@ -13710,7 +13710,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -14109,7 +14109,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramt_tile 6 30 @@ -14119,8 +14119,8 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -14331,8 +14331,8 @@ .logic_tile 18 30 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -14529,13 +14529,13 @@ .io_tile 4 31 000000000000000010 000100000000000000 -000000000000000000 -000000000000000001 -000000000000100010 -000000000000010000 +000010000000000000 +000000110000000001 +000000000000000010 +000000000000110000 000000000000000100 000000000000000000 -000001011000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14545,12 +14545,12 @@ 000000000000000000 .io_tile 5 31 -000000000000000010 -000100000000000000 +000010000000000010 +000101110000000000 000000000000000000 000000000000000001 -000010000000010010 -000011110000010000 +000000000000000010 +000000000000010000 000000000000000100 000000000000000000 000000000000000000 @@ -14563,12 +14563,12 @@ 000000000000000000 .io_tile 6 31 -000010000000000010 -000111110000000000 -000000000001100000 -000000000000000001 000000000000000010 -000000000000010000 +000100000000000000 +000010000000000000 +000001110000000001 +000000000000000010 +000000000000110000 000000000000000100 000000000000000000 000000000000000000 @@ -14764,18 +14764,18 @@ 000000000000000010 000100000000000000 000000000000000000 -000000000000000001 -000000000000100010 +000000000000011001 +000000000000110010 000000000000110000 000000000000000100 000000000000000000 000000000000000000 000000000000000000 -000001110000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000000111000000000 000000000000000000 .io_tile 18 31 @@ -14783,16 +14783,16 @@ 000100000000000000 000000000000000000 000000000000000001 -000001111000110010 -000000000000010000 +000001010000110010 +000000001000010000 001000000000000100 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000010010 000000000000010000 -000001111000000100 -000000000000000001 +000001010000000100 +000000001000000001 000000000000000010 000000000000000000 @@ -14801,11 +14801,11 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000000010010 -000000000000110000 +000000000000100010 +000000000000010000 000000000000000100 -000011010000000000 000000000000000000 +000001011000000000 000000000000000000 000000000000000000 000000000000000000 @@ -14904,261 +14904,547 @@ 000000000000000000 000000000000000000 -.ram_data 6 23 -dc113405af5b427c5ce49a13956438cbb8840b5e12d9e8f8328a42c70ccaab5f -bf8a1e600848cf0e274dfbeac26071c070ffbe0758ff11c38621fd878b734569 -7075a7a79ccc96eec25c188819b783995db53bb694f6263524fdf9fa015fc3f8 -f386ad4d70013b46989dcec33096cf5f6d21adfe08ca1ed42aac5c2c47eb8c60 -39b66702bbf914fdbe9973f3b728cd7cb1909aac0844237e01bee4ec852f5e68 -652f87e8f917aa99e49a9edcc19de0fed98ee6d80fab3a0ace93164c9e60d90e -58e2069833ed522c9522b2ed2b71d6fc289588f75de65fb7b2f941f5946084b5 -626f95c308cad71e3067fb6c94bdf9e4d7f8fa77f477474d22694ab0a96a16c7 -215d22ed7d034ff0734acd305d2f876494ba970cb364cc373973d82389aeaba5 -a9afb93f49d74365f56ec12609c5f1d3da1dec7a0ecb6bd06deb0fb7e89897cb -7b9284f58180ab57f93c41372e9bd940b34969e6bdbee785693738326512d196 -0ed568b59188782c664598070de19c8ae3b6ca0d9427830f02e4d2920455420e -afe6cd44e6b214adbb802ad4c68c590fb84b3cf4386c4c3c6613216c99b26bd5 -332b7344a72c6430b05e67c295ebc2108610abbfa7ec08ce5513043bb859a6bf -42340190f8ecd8a7c3b156f9a644a8a4537189d89805c5886216e0b2bf7e9c73 -0ac7f5887ea3e19559c7c64dcbd0cc34b0da4cca7d9a890b64db965f95fcb2d4 +.ram_data 19 11 +d8095d955a83fb8c897804a795e06eb32dc8c3ce9ad1ceb096fa2df7e2c2ffb7 +222e082812e81b765301c262e8844898e72739e323b774675c856187085712f1 +25c9f913f7b0979e33184a6cbd13fe45896de9461dfabdb997e94e56eb3b56e0 +31c65f553881e54e3795e0e7f96a972f742507c6026675a8ebc463b8ca33e490 +2956a882b6399391c631a4eb5e1073a8606437f8114c2b2a5fcad3885fa72ea0 +279b36b04dabda85be9e5fe8532d23de7782ae74ea538e22bccfeb68501c971a +542ee25c93b1537ca8321f65a4a1a77815f5dd03f19ee517ead9498190e0f9e9 +1b43a087c6a223c6a90b6724c711797c8ed4f147410febc18205d0249e9ab923 +abf53b45cc639ce40a5ee4608787992c6a9acb38754cc9fb24a7c4c3fb1e2171 +d79b631fcd3fc139479621a2a1e9307bd30522c2aa6734b08acfc94f1a58fe43 +9cae2d0178fc1d0f6b74ed7f06e35c909e55856a23c2195539eb489a800215b2 +ad791101ca5833d835fd95470ce9ea8a6942a709e5af1b9b8db8a42e5de51b52 +fd520df82026474d80c46dc03ff0cb8b2a5f593c1feccf888cf33bec645e5195 +32c76dc01338e8b46fd660426efb187ca0c0c797f718cb2ad477169f46bd5f8f +89501cc463ac9d4f4485ded589784548b495aed0b945e22c4976bcce03c6f8af +811752dce857d199419fcbc5b85c9550469602a22e8a36ab02b39b3313ec2914 + +.ram_data 19 17 +ac6974a4d9c5cdab23f2285509561388aa20f1b9377913134e909fa8dfec0951 +167095528564206c7d305bde15e66f2ce79b941cbbf3cb507c5af2044d33b5f2 +b91beace7780d7cee1255a34968772bde29f8a1fb7cb2057db63d3df59f15f4f +eea42da830c01dacfa151bdcba09c1fda44ae707bbd49f89ba26a8025f1ea9f2 +e6133cc0673ff31ba6415b23d4c231a7624dca6ebd8ca947f62f3752fca6b97e +64ca975aa41da215f62d9759eed1df731e24df61f2f6c0c8b6ada10029866024 +2b6a7e85471a94fa7c12a782198377eb2aa987cffbc2325bf797b3eb2d822267 +c9ba8734bbb89809653edd064e2bd30a53cf255705cb5198ed0a1ea7f94edb7c +6de987f270904be311c0dc47849a959e329fb40855be9c331d2338fa9eae8696 +ee4ec43f330d9522cdc6f8ba9b8c833534753533572cef658fce9ee74acdfff0 +7a21a71f9664c91d54bb60e33649c9ccfdc81bd2ce8f2ae05c1fdc0f14393291 +d3f9be6ff6f47422197ca01cfb723e906e3f48f83c763864875a0a8d81995c70 +b7e21d980677da1eeaa8cf6d82c0c03cc91c6b9b75e678ebbc9dd962c69fcb95 +a0b68d8c4066a47fc5b537344fb29069807d22ffbfbe1f6c84090cfb75c9ae23 +80e7269d6fbafe663283f7232528eeee496b6fddd8a83ec0b0ed7a23058b3d8b +dff83a24f69aae75d7d04558d7e5944733115b8c5a79d47cdbd92d518f73f31d .ram_data 6 21 -c248637ebdc6052cb58977adc80a3b9cea2449b784273181920909b0089cd2f9 -012b817f53f0eeb9a83bb7ba583a9cbf758fb414f6c617d8cdcf18028d209dfc -9c5b6d7899a6553c7f7362420f51fdb739fd75c78cedc25f6c4359fa312347ec -19435ee5290a4f955bb4fb3055a943e41b7034e8365e05fab58a9887545633d8 -bd89beaa85aa4eb8c28a265e2bfd876f455ef9fc140272f97446196088e53ed4 -9dbde601e7b030fc1c9d0b23fdd994cf17c3fb80ee5b85afc6eedef66c763759 -07b57dba2ae426c2d63e174ab9d5a75cff7fd13e0077e14753361109f0b2d1ba -79e4de95300a9d352a10909670a5f86399bc18fe320d23d893dd4b97b4981366 -3cc941044e775f35371349f8a24336c938eb7c710c037c27dae242c824b87023 -7c51bf4e57e974a10c10e4a472223ffbd8c5e09000235f6887e7abd05139d093 -98f43bf8de3b592865cc99d37d7eda636453e2a7f23cfac7cc5f3d4abb28b82d -98e07f59803ebb10e83dd33e52515ca84daa23192e20e29427858819bac1bebf -496734619b87a00d4d0bc35db57967d093baad969fc753e8830e75c5d3c693be -8e33279ff6435158cafc7abd781a168a15cbb22bb667d3e5f69c15d93120f8b5 -977385c786da3734c8faab4aa6315731c45de90cc19441ce5961a11f48f02dca -c95f282e0a4a2b27cf83e7e20ffd5893b913e26e7552d9dfae1b8f107d3c8df3 +c5c116a1253df48010ff8188b39360cd7ccb0bc8fc1321c107bde3330f89997b +69b891b511c07e2c791eab5d4b77dc1704138fa0180464076462e2cac5751331 +a76707ccb6d9b00e7c48b8b7bc2e50f37dc509b50fb7bfe1456a9da13c98df83 +277bf79a8c825702d45601c364d8ab19be3fe663eb5ca54157bb57bb4dfe178f +24d92986978ffb01bf0b45ab38c903ad962d83e4552f6f5494cd133ef538ab63 +c9c82bad1acd1914784e33ec7fba871f98442a541d2aedae8f69c00e192b4143 +6aa04bdd7231554509a5fe27109c19e40cbef97ce37dc7b941c79e058836d5ad +f9e785a994c3dd0b2567d228cd80d6542b9acd1f11d5c5f054d5c32e8c8a6b6c +b8b0ad5393fb7e23f13f3dfa7e2be07ffdb697f6aceefdf3cc2b626681c8f9d5 +d4d4c973f6ced5beed8871cd11818f2a46f38bbd1df9f57933233f093eb4d32e +c3dda53ce86388e0cccfaf736dfef0ea5f069165969fe9f34f61c7f49339c62a +eec6f6879940b75307f01bc79318569479355ca84335e53627959ab565edf5e8 +7ebe4b7eeeba008a46f2aa05952165e18f876ec534c88cdd9e4a134c399b1e22 +22d0c7fc6bd212e43ae16df42a092ee1b7e67890dc554a62fbcec9a9393eafd7 +891b42bde8b6e01b5ced30a3f9e6a94b22fe1dfd75ed12480b4e18ca237609cc +c2cb5dc17d44ff3e63f0cd3e2b13e510a642aeb7222f96655a0b3d020bb46ed8 + +.ram_data 6 11 +9061ef137774ac1b031146163bc3489c355c265e5f3937c11a7868528184d9c3 +bf02b35d3a25d73c0848d4606570129faf045983f23587ca201870e2cb7e997b +bc19130212a8a7a93df1be4faaa9b98fdad5b3d40adf2c977647f6d505c686fd +702a2a3cf492f3491898ae31ed010dc2bafaa3709722021e252ecbd646ab3f2f +997f738abc6b44c7dc39535d198b3f8adbb314e37fc97129513b940ec9444bef +3d1dd17237f31df1537f39f24e75d38e277e0f58991969f40cd44eb23280b8fe +3d2cbf8f7e4099c6f7801f97f8c4e135cb9d76b637e86ec040866f86b3aa971e +530fa0892885adb8be7df8ef9b604ac92c0b2d0bae438867a1b730c5e2b8dc35 +4cbf2c2ef3360d772059708e3749b78df393e6a13467c08100aa7ec19848d3ea +92c35f7d0b3261bf4f54f21d39e05fffa4d287517be611fd6d6296223feb283d +0826107868cdb6c48ebea40971fab6e8d66538e702387caa29cf1c29fc28cc60 +e416ef79dbd00af63e9dccdc0b85edad1abb97cd61ccb96820c77d3829bbda90 +75523674efcbfc93ee514fd8a03f8efe6007e2045b1c66d9d9adc909acf7fcaa +9494fe0e925ee2ca3e6103234e77825f8aed98ee7fd728ec396292cd174d4849 +46a05a07130603b300dcbc664f1f42bc6e95af167d25925c176e7de5740c26a3 +bf93e2d1d17516f07fa023369ce16d830605219ce0257c920543a5b1bebe8eb2 + +.ram_data 19 19 +0d8838dc1c6d4565a3fd89c86dca4c0881c542562ce366ede099bb79a7258f14 +f933ef3c54880014b9d3f08a54878aa1f67e44a33c4ebfeeff72382d81776a16 +30c302a7395fbc8551d8ff0639580ee0b20c85f97ca623160e5875b940a164fa +a58f8edbbac4822017e2ac9d1cdf50ef1bbdcd3c8a3b178c53e735a777ef187c +ceffd3190d52941a96dd77d4cf4fd0595835e0bd8c8cc69ace7379b691da0740 +6caa220fd0449259f8d2f7b87fdeca98c5504434f8a43bcd851c9e6d0a80b4c8 +f2b3182d4d117131de11f25904d11a2e9f4991d8afb30ecf867b5188a12366a9 +dbd1c1b026fc54f946031419d907a6c5dbb60c950b56cab210ae7ad0878be8cd +7595ffd237aca9129f1015cd2d3e94d45e21c2151aceb3b13c35907cee7a0aa5 +022d6b0785eda05f1fcdc5521e4de0b5bced6b5fbd55ac8e91c00904736fc1ee +34d3e940177b40891a8eaee7f3249d5a896cf17d3f971606fa9801219738d930 +e8d766189ba5bc5d00a66a82ace14bd3a01b78745638f51c642e58258094c361 +9c1a7169bec22095f9b5aed3570a56972b34c08a9064976ef0c7e67da343213d +50897b3c5b7f56c172fab1e6aac5c6dffe9b6b395114f73e58c30fdb4e229cdc +0d9e741168ca4b860f5460a879a4ab44d85d8bb8fd957ae53201e5df1e6d00f4 +4738d716d9d0bcd90b1d5448c960d5adc2643171d5b53903ba9e5fb2ffe31dda + +.ram_data 19 25 +82513d8d3946e77292c203daabb3eb460aea8a6127680f25aa2ff8cc12c30c63 +96ab382165acb1b7ae61af6f0ed48f3a71b2c419541943559a6e59fdfb9f3e93 +004826701901e57b97e16044389e56f57e139ea444629186e96762ca9124f70e +7034fb869b3288706dfc0a040d4e7a165009b736e84b1720b402c82b281baf35 +3456dc144f2cb2c8b8a57baba9d9c7c2b249f119c53b29e345674a8281f0da76 +05408d26eeecc7c810ad7ec26b6072bd665882e469032cbe6e95780d182463ef +6093202c8d4807052c17cbd388b9d9b19f0130d43381f480495e374b7d803c08 +fcc2ed823ca348e41ee82415ad96e11f8710600bb5410a22dd084540f9f479f8 +5e28df5affb67b32e44b136b35f0f859ee455810d7a5448f231825a5bfb1188c +df78ccd3a4e38fb49e847cb8c87498e3244dfb9142a437d2ce7ab5df0192d356 +cdeaf4f6597634e7ffc81a01297c2238e0d4af745e4041e48f36379e31bbdb5a +6aae55a9b931ee6c1919dc8d1ece4d14c04736c2b9c536d96e45361d1ba735c9 +295ce8f6c14c1187e71790c9ac3c30caef188b261fd41abd29f928d2d9298d16 +c0060073dcae762531e3c4648f96ca2f571ee424b420dec52b952a722d22e6fb +8ae5e9a5dbb09d32f83d8ade31128900c3c2a365aa3961625d8fec8439524f7d +63a5ddef85e3ca72fa1c2a9ada9c58673244e6355295a0d315cf12f8d511abbf + +.ram_data 6 13 +08253d8377e15c600a45c21b8b955db221ec769477c4ee1750b132d541d0a8a9 +2b842fbb948dbcfb9276622e1dabde2ae7d67970713a8371a9b326641c77b302 +78f847d1933cc622262dcf551840edde459e0886c0016930162e30d045169a1f +74cfa809e57fd9b5ee0e0d31316bad12ee3e00143aab621cdd0b7d932a618d28 +8af7171de656cf9797dcb33b7c7b1ba3dcb387e17196aeab0bbffe1c1cae14f5 +c79e601482e3b15399dbcefa52d2a3708ee7d9b6634bdc91de804abf76583c5c +537db0ccc87a9ae011fd62ab2f21873eb50d938b65561827d84b951dd4f72d88 +f5ca76539bbbcf9dd9e7bb5d089b3227acc7c166fb367527046727876512878e +c4d802408395e7b19a62cb161680c28abe9cc2cf96c1b4f49cb5d34c13d6c4d4 +b9b78005841af6cc5f8899348472ca3dc7fee526569b6976f44f4835c869475c +3dff4e6e7b3178733db82489c34fe7809b56187de2a0569afbdee73ee87cc598 +7cee98f21482df95a85fe90d51f417bd1677ec0691063048f820c1449adf2b26 +70311ecbd86b0c724a4f01ddae853804431c3a3bdb8062661d7bca83820712ec +45022765f947f4c86825bec86de8af443b772156a6285e81a3c054928b856403 +a891fb8b1d170bcc5ed0ed817301725e31956c1511d072e8c16bf5c3abdd9b3d +8ee77318012aa25bb1a7fb30846d42e44345209a1df930a53d0011aa4bc6b705 + +.ram_data 19 21 +db0c2acb2dfaa6e2d8591c4cc5450d8105342301536f065f8a06ca76199c84a3 +913e193ace47ac490859abe9a25e8c0f8e5c00c6664b5851d650456235a10b71 +f0f2ab3510f9f96237b62eba40d8ab95bc1b6be2fe5d6e4992e241d3da657946 +becc0e033aa36eb9b0b515cac9a202a9f900f301846d32804c12a03e66fd2578 +a7305ada0478f0a0f92be30f2304383167e482d18d8b7c80cd478c92fc1d98e9 +ebaf9d7dd9259119a9c98915db88e6f3829e8be49b0729782d164a77122c6ee7 +e6a31a074149b0f9cc4be30924c82f474e483c62de26d025e02ca3f60e6413ad +ab19d94adcd065f9b72960bb3bfae1b0cf2b3bb2acd0becbeb7bc2a348ee1dcb +512351f8970213a0c686766ebd463c6c9b69b943f36824f290611b3f67fc7d3c +06778989b2b2e450afa03b016755edec1438d43f30c4c296eff6ae04e3b857d6 +fa7d5f9cd9180871f5c5b074d885c1b0c696d98030f88ebc6575e119a5987efa +6f2a61fb9a41eb2bb247f9769cecee6182ccbf9c2e5fa5417f5ae5b6c7a7067a +d37411b7a337b192c92765016a7aee08e17176963aa2143a6e6c1765b47a663d +942e1752384cd62cdc3a4d27c7412396114a2988018193d56a692eafb0514eaa +77e5e6a1fa46068db261fc4f5685d43dd3ef73111a7b8b9b5e8a144164e8c063 +d6b52f79b2b4b453868b0c498ebd98a7178b960235cb9abcda9e04a4cce15aed + +.ram_data 6 23 +ff2c5dc45fe3ac2a3f1cd2d2a9953a8c72800693887e22883c4e1006c3d8e28f +1f5b33aa367cdb5b2dcb0e3f3c52205944e8d7725a10e4bdd966ab05c2d319e5 +ec5cdd3bc3b4d942247e4acca6dd0c7f93adb25765702116690ccaad68017c9b +64377369907cf61bfe545f86e89ca70bd3955bed92089fda9616e7e4552fef0c +52d581976afb1574079ea81f71bbfb3598ed3e7e7684307fc0b17dd49135afae +299135eba62d42bec9f473f2b01928d0b2ed995e3bcb52babf6a41729b8646e9 +9630adc6f867c802090154ca0633910aaea89a2ce331f743d4c2d84d95380d74 +305ec1593aacd1ef1080b6cf8da4e2b13177923ef5a08d63f87e1682de6d4d82 +8471a83e3b65a7e37c3723f52b35756761c0e1f3f4ef2f50ba5d1ea06e05ae57 +421512fd7a4d68174d9dd8f13e41f82946568730c7ca4a872779af9b3edc3103 +2a93e5b470292ea73af96451572626e53c3f1225630515931a95aa48c1255421 +039e9aa8707d9a6c354ef2b0d3c9af04d467ea566d2404a3d0ae3862edec4cb6 +2b8b9fa1c9aec1c8166b9e7635a6528906d467487e0447a4fd32e08dc6e92a87 +38077893fd2b312c988841dbc979407a6da6b24379ef8e50d56175289ad4b502 +b8167011c2dcb6609cb3f58e2d02e2687cdfd24d6a341981cc7a78c053af0fd8 +924a65a9cf4ad8dda281499e86abcb94e8dcf3e0dbed4e31357cc5ae45a90c76 + +.ram_data 6 15 +dba5881722d6b1b0ed5992998ee19c670a53544484e5d72e9c7b9d6490c42ea2 +6a9be8cda840e7202c92279b33947dcbddfb96ebf519a4c9f6dc4ba7968081ec +c143a2daf675a6e95794c4697c41da3491b540f30ec1783e04b69f3ba02c6ba8 +73b7bae695bf94afda350fb0dc8b2f5061da464ef4ec58f5d036c9f5a16c56ac +2c57e5fa36dbe0f572ff4d53bef33b202e6b868d5438b48ea078efba26a8914d +8daaa6402f6f7ef2ff960e01e7d85b5a3be5fb32f09cfc7fdf244ca9b621eb4d +f5d95c303c0725e12a318efb3c7a472d9cfef2e421759db9d6afd1ec7241a69c +3d9ee6212874e329ac6f83efca197b7b574d1367ef5721c0280e1dc8ca1e5ced +801e1c62495ff1886ddbc3c0518546dc6a6d6e85a213a398e80733e59e94d246 +d2b272cfd914d11ca77b9314b8f88b77371deb167c50e1b60d6ed4d857320e35 +ddf3528472d0aae22bdb65bc0c7a2b090a1fd9a6b653131e3d5224af69fe5f99 +2850ad9a4e7989f7350eee311058c205db26ab949a15aad8a8644f69d0f439d4 +c21ad7f4cb669c11c6d7ac1e7bbc09391a672cdff09395c8ad5ec46efabdbd16 +ac1781ebb63ec58e4ac335063afd97e8e6a80a9ee686f81c7975bc2002ef6a22 +d5a484a853375ba57fb4f51986261ab2a109bec46a5db75451d6077eca8f8a75 +b8f0337f19770fea5df593d057e02f18aaf389dc2daf56887d2e5e7d1a81be27 .ram_data 6 17 -1550f923c5a430c5d3e1a445ffeb015c43adacc7d394d5b58dd22f1f4d7577f8 -e467d5509412c8e606910dd24fa0b3f4c3de7cf89053e3d502802a1ce57d7ddd -1fe5ec24891fd2d05093b300923a73349536c5a3bfd13d55b64095fa60139f62 -2f368ed922a0de01028d973150176d98ab3ccba9ec80a5c06feaefe2b6b2ffcf -7156e69e3fc03d311f78d7f471c1ed87f9373c0bd7b15c3671552edb44b9ff34 -549d6d50f94b5452f22c6cc19e52efbee056c8e1566146dd0dbea25487e827bc -4814fd328978653ec5fcfb0600ce545fd257a4dbcd938d0827c2e985e2c7e556 -f79115a5135747ed976638b1c46698513e6c7755b5533414717a1e2c820e1cfe -304f271aef0c7b2017c626bcdb4bd371f6cb9e35320d173c23b79ab004d1e523 -b0e3d745ead576c5c44895e44558fefe2b23dd9fe54f55726f49adbef88f1ed1 -2dfc041d137280a1a3281f8866a982699e4935298b9427237dcc1cd40d070ac5 -2a88db40c493af319cae0f19dc2c58bfb59fd0044dd846fe3d6449e3753d84f6 -6aca8e8cfa73328c9abac9db3508a5ab3f21891dc06291725a245cf8377e2a93 -08eeac81aefda85099baf48eb9c3b9059ea820c0f1430a1b6ec39552d6781f61 -87cab967e231f3cc015723bbf6ed87b09a79e50155d688b3ee0052400eddb435 -fbf8955854d78efdcccde6311f7974409a684b721bd529207b835688ac5ba82a +1a7cc79271263b802e09bf19625247344114d11f11f3fc3162d9585843e0624d +f673ff4fb0cc7a99ca3f36aea27e1bb3a7d71d34756a6e18076fc8da84c82bd4 +b64306042dfadfa0608b90f2788d19971799494bcb3957977c83da1ed41367ec +b00b95edfa9e5dd17588a0e406557d28f890a6ccb78242b6def27183d512ca60 +722926666acafe34e28ac37677a9b3dbe75627b4dcee26cd5d4e6044e105e5ec +47315cc5b09433507b15349732cdf75314dbd4e046875b5fab8eb5d211264a61 +89f9ca12bdb0281a0bc6eeb281f91be05b8f276e85bbed73e97a2215f0fe7a6a +cd0065194a2e71f9b47c45eab57518e73f58b7dadb05fe3cb709f5a77e947102 +aa7d21587d33c9b970773efc80db46ed4e6f98559cd3a97b44faee40ee10c4fb +54b12fba865d5409806421d0d02232db3581188ca86f068c996b74d066add04f +75bc866c9edffeac6b88b85bcfee8c43500f11ebbf2095472fcbeece46fc4ff5 +7800d6ad8f6a6ca44315276a3845e6349aa29a99bcd081bce1d96a357455dbdb +412f4009113f57cdbed75f417635a00c429a7dba911ba64873be7d21296eff7e +5cd7bf5fe03f8248175467d5760a1aaeea87027b1ddbc1ddaba40e89dc186515 +a8b3a1ffda46d9b0c65a1ace5889a4f9b3fd8fa03588a79e2cd17f4f84bc56ee +8fbf77ce6aee113f94c3781e37217c6fc01323b220c67b4bce43b87caf4464eb + +.ram_data 19 15 +6d0849bfdfc00836ce2882668830423d7c72e62ff07e5a847c20c66f02b55b53 +7ccac17797ebfeafcc531e57dc377673166cece5ab246fc5abd844d448c69f23 +b93985d3461674438d175dd0ad318ea30b4bb370c35ab1e5ad70db6fc43e474a +55084b0aa058621dc2c750b726e687461993a3c2d98567e79ee0b24c7d053f95 +6e48ea0a82ee5af732ecbdc9a72bf53abd8dc3cb3848af17d15c091ee35a83cd +7e37d0782e53ef830e81a49ea34117a80794be784121827697221fe7cd3bd995 +9eaf5e437f0a3bacc497b1a08f0991957d1f94738d23b90c9c87d0305edf12ff +372a36c97c3898b70049a2310a46b112de936f2b34989badbb518201aef5555e +a7189820c9bf44d70cd5e753c51c67f4533ef92f3c609086db9ed7bc9aaf5092 +f975e5b84bb626ea3079a612fc72f77b67ac4ae1e80ee55617e22ba92087f20d +6fb72b47206fb0227b807b01791b955aa13d9a82f05bb334d15579801c3240d6 +db62d1859063e8d518f72ccbbdb96a9e1a9aa8fd304abeb1aa004445ef002253 +31b2410e5aac64d0f410ad6d15a7e7ed4ef7b6ad1758a3c2c050f7107bc0660b +c0c3f2599190cd7d2beff28ff07932186338387ebdfe935aa621fb5db086beef +f1d33f685bfdd45b17e351d01cf7746f118d6ce08eb5fb3ca186d0799f23c314 +e15d00d6d504d466ea6c3f06f3cbb2413c11bdbe89851361089df0cd08fbab1f .ram_data 6 19 -c194b7506d61a0e519ad0be45df656e044a5d89afcc3bbd9a1b1a90d44bd313c -6cb76f1cb14013701a97daf2a7833ae98e6a2413b6121dde87ae685153f7deba -2003dd8b1c576a71e9d4ad0e0024d69836b8e2859122946eaa7870a596416be2 -8307b1ebb7e895081a4e6581676396a34a1dd0e41b3b88cc272f2737993f44d0 -cb2bad0d0ac60f827c19fffcc35f1fe1c3919d5176700b7a7f7b28a6124611ac -fe42506b5b8057c957125aaccacebc98ab84c680ff70412d6894bb198808b0f0 -bd3bb001524518d53539dbf90d11fe5a85dd2f04a6df130b036be5f48347d409 -d62d6b640f385d01d78b3d11e3674b79f3cee6310e5eb7aaf342bf48264f7e41 -708548eeedeccde6aa3caef568460a10d8997b09c9da203d11c1fc50ceda4009 -b7c9513fa23508c3cc8dd406d20949310e1136df0b85a6e2e3a48174c16fece6 -9763ca244d6f133154ca019b1f4c4c86ae0441c5088f8a4a5ee85ee5407ca4e4 -e2a37258925dfd81b352651a04a19d4f8b33c2a0b6585094e0926491fbf0ae5d -c1427b25f34a62717bf5551ba90e802b5cccabe2acc02a9e8753db292b5f9865 -2621ed3c072f8099217a7806d4e9ecbb6feb460d5804d99e9cd3b2af1d6aa3b0 -d1969f51a7c29c6e981c35a09d641a4cf5e1d1dcb495f869a77917070d693dd0 -9bdcdc7e86743ba1b78d1ca091843835fd88a29575958137a47a068e1e5f9df6 +9026fc4727fda1bdf5816123120cc21376727e15e5c8b47108bec6750e807c22 +d00d34f9fc63db22ea80f93b725c47c061ed55c43d2a79bf639152547ba97759 +17305f4dbea909b58b9f7cbedb4f6bcd325d35316b107cf293fc025dcc1151a7 +4e3d1fa23e055f83b26366834d899cdfb627511421c2cd51dd4d5a0c2dd888a7 +d305474621014ffaac4a59caf643f51fa96522b16518ac7ef5d0163d421ce342 +1b6175bedd0f98b8c31c2a2fcb6525c4e9ff2e5793ee7d61a5ce0b962b08a1ff +951b2b852a8af5f6f94229eb423d452f533f48cda838882d7c77b8d574b4143d +ae757bf23cb8d73d469ee0f430e04ec4964532b8bc2a786fd8d3e25be9282853 +7b04fcf17f9a9b3bbd5b7e55c0a6b1e292c073c023406bdc9ab2783ec138984c +6f84a3d73dc731105bc0a9300056afc386e8f894007437b345af9ee3bca56888 +06f072db7b02c2216d994ec53311c6fe7190f0ae18fe5aa69b8cdf05ba7bda04 +e2481f5354a04a6bc240241fa46e2780db0980138706582a49836e7462228f82 +ea05413c06bb84e48ff11ce3691de55ffcf30b859703fcbfb8eb1de550a7cc4b +67f6a163d94a48adda3aa6faeed0edd22925b05635caf89765eef1ad10d1aeb8 +0d97f55911aa656ff60cd6d3d5fa0db7291c7e25b46deca9be318481aa409711 +cac9eac4da42deafbf6b49ff47b732b4a6cdb0cafd7d0af9bf266fdfd3a19b91 + +.ram_data 19 23 +7b0c117a321f8c34c617f187dff86c83dfd66d18c6fce8fbea1930084404e38d +28800e4cc1a947728e2a66c7d1f12639493b16db97678c61c78820a91e7026dd +d2759d0a7e2de149fddb19226494a34bccd7619bae00d3f85d563591c8e75da4 +481d9d1dc85ec11c4925f717c1455d08707db043545b6ca4025782214d956827 +aa432ab74200edb44bd81866d4aa2694add00f8b4ca14da309171089f2b4e87f +0e65a3e6276ac017e4fd04cc3fff127de499df6b733206e843545391551a00b3 +0cb0b9ff3076c8f27f8130c102efee5ecf776bd9b460777695a93cf5bff70f51 +b17f333da813ce0190260e89752bdbd75271c06d6c2b4c1edaa965203293d011 +68378950019c9d4198f755b40e1a043308df153750a461470ba53150d866c157 +f107ae5319514d63008813d6f13714ff7b51431a3434e9bda2c4ca4e1d216799 +0ef160eb1b8d3985213e8a1d59f7a7c1e9963bc6a3ab7f4f23b01c8b96c3f6a7 +5669f9bb467882c30ff6778dd12d9d1949ac84fa6c5ecb7e740a52acc627620f +9db480f3c201325ab5644ff85a332152ce61528a02c111f152fcc50f8bed7acd +16342086474329254fc825a3f1ff5c7db83d322772a7b359f7971c1d98033b0b +5a49024c3e44703bd734a2dada2ee54d6f007346274ced004101521ad140743a +535cbcdec87c8caecf14ef06840c45ad12972f02d567b7898a6a921885b39abc + +.ram_data 6 25 +d4a05877f5b42569e80d0d85d217dfb0fb1d950761dce92d044a0b9bed19fa84 +951faca8bcb6c546bc855c52b4604558575eb67044b76db984a40794035d4331 +05dde104178b6c48f8bfb8a8a2e2296085c27ce3cc8555015c7412826c0f409e +51bafa1da850d4b18ff5f43d119f4e2c9fc00e61a8f814507a929812a4d2af0b +9996432240d0dca93ed4c57840a18d1f2183e2e7302d1936515196971ab1a940 +e3adc04c36b39036ef5884e1dcee23eeb17ea819ec21cb7d873ad568f230ef40 +3d7cb4a28ab4cfce4ffc9dc2f776d38725f3daf3ac77ca240c6a15ed59877da2 +6c459ddd89378b394db6e0359182dccddf40ad99e89bad00ba1aa33cd3723b82 +c77f8ed6438464d08db2cbc0d243cce1762f51619bc1e730012bc488d8653857 +3cc379116df911d932dcc51c0a68bbae288bf7576fdf503e06811b1acbb31809 +6f941b75d4b654ad82705a50ba7996d9fa6d1a1dade804bb2b943900bd9391e1 +726cd0942473ecd96b7a0a45675c6777af0b1d0c8228979e8d88f4db2725013e +56a20bc47037a380e2c2723f9628f23300e52b35d446c02a49d892f05bfe4c4f +6f7e94bdd399a088ea8a4f0ac07ffd951a501400605b62d33ccfc0daf60430ad +526a8543b475837879ffdedfaf5d583c02fd08dda15ee84320c47864a7a1b119 +061862d0c19f136187b5c4cd320598001ae4d4f2c5e110a064fb2e10767b8ed2 + +.ram_data 19 13 +25c11c72069abb104bfac110cffed1f94e6ee730b29d0aafa3d97c5119e317e7 +3582551118c5739a7ee1e705d68e9fd367d9e75b4dd18b618ac4fc3d39d78bad +8f1e14aa94f503f19239ec90848be82fc453ba567ff125259930272bdc4d4d22 +7037abc5d6b620d856cc64dbb0b50245fc2b8a9696dc13a06f26e35e32b36f88 +11098e1dbfb4244bf9f35f5bf7d066448027e4e866afe0df01e56bd902afece7 +ee55295d09986d4d3c66a38c4d899e0b75111b1a95d6ff997627fd11dfb28263 +5041242f557354470f07b88c2f3c55554161e7753ec17450eb509aa4df384991 +5251d6da8dd17f5645462a93c9fee10d3536bdb53599ccfdad97841e48383faf +1db4c8ade440485623c49e8bc9c8fd136b644487746828f7ae839ec70fb56876 +a70e05f0e77db384d1408736459163c76cfa3275b1e481d7cd046e3f46248391 +932fb45509873696580ebef8727a1142658cc076a6e9acc2479c534d4400ff9c +86b8056c721170b79e9e40e980aa9a17362d8424c789833f59d283d604833b53 +7b40b210c123faf4d22303e56c48661e6cd24c51419a09db5c027bcf4d47bad1 +8fa66e94cb8791490acb56c0cf10283cba6ed73cf5804c89b7fc2515f5975102 +0bd4898eb8ab7f44fdf5223373262a1f2d872074b38de2972cb4b5181fa1547b +f7934dd9cb7daf5f0f447c03796fcd705d9e4d33f7e518623ae44a500909307e -.sym 5 clk .sym 10 $PACKER_GND_NET_$glb_clk -.sym 15031 led_r -.sym 17452 $abc$358$n48 -.sym 17953 $PACKER_VCC_NET +.sym 11 clk +.sym 13377 $PACKER_GND_NET +.sym 17200 $0\memadr[10:0][6] +.sym 17580 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 17820 $PACKER_VCC_NET +.sym 18572 led_r +.sym 20302 $0\memadr[10:0][0] +.sym 20553 $0\memadr[10:0][0] +.sym 20789 $0\memadr[10:0][0] .sym 20902 memadr[0] -.sym 20907 $0\memadr[10:0][1] -.sym 21032 $PACKER_VCC_NET -.sym 21038 $PACKER_VCC_NET -.sym 21040 $0\memadr[10:0][1] -.sym 21147 $abc$358$n48 -.sym 21150 $abc$358$n43_1 -.sym 21172 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 21174 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 21393 $abc$358$n45_1 -.sym 21394 $abc$358$n44 -.sym 21398 $abc$358$n46 -.sym 21399 led_r -.sym 21527 $abc$358$n49_1 -.sym 21530 $abc$358$n47_1 -.sym 21532 $abc$358$n40 -.sym 21796 $PACKER_GND_NET -.sym 22277 $PACKER_GND_NET +.sym 22269 led_r +.sym 22651 $PACKER_GND_NET .sym 22667 led_r -.sym 22685 led_r +.sym 22678 led_r .sym 22848 clki -.sym 24518 $PACKER_VCC_NET -.sym 24634 $0\memadr[10:0][8] -.sym 24635 $0\memadr[10:0][1] -.sym 24732 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 24734 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 24736 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 24738 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 24766 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 24774 memadr[1] -.sym 24780 $0\memadr[10:0][0] -.sym 24790 memadr[0] -.sym 24818 $0\memadr[10:0][0] -.sym 24848 memadr[0] -.sym 24850 memadr[1] +.sym 22908 clki +.sym 23997 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 24017 $0\memadr[10:0][4] +.sym 24018 $0\memadr[10:0][2] +.sym 24020 $0\memadr[10:0][7] +.sym 24023 $PACKER_GND_NET +.sym 24024 $0\memadr[10:0][1] +.sym 24025 $0\memadr[10:0][3] +.sym 24026 $0\memadr[10:0][5] +.sym 24028 $0\memadr[10:0][6] +.sym 24120 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 24133 $0\memadr[10:0][9] +.sym 24137 $0\memadr[10:0][8] +.sym 24146 $0\memadr[10:0][8] +.sym 24148 $0\memadr[10:0][10] +.sym 24243 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 24366 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 24378 $0\memadr[10:0][3] +.sym 24489 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 24512 $0\memadr[10:0][6] +.sym 24513 $0\memadr[10:0][4] +.sym 24514 $0\memadr[10:0][2] +.sym 24515 $PACKER_GND_NET +.sym 24516 $0\memadr[10:0][3] +.sym 24517 $0\memadr[10:0][2] +.sym 24518 $0\memadr[10:0][5] +.sym 24519 $0\memadr[10:0][7] +.sym 24520 $0\memadr[10:0][1] +.sym 24612 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 24620 $0\memadr[10:0][7] +.sym 24629 $0\memadr[10:0][8] +.sym 24640 $0\memadr[10:0][10] +.sym 24735 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 24777 $0\memadr[10:0][0] +.sym 24820 $0\memadr[10:0][0] .sym 24852 clk -.sym 24855 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 24857 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 24859 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 24861 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 24869 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 24870 memadr[1] -.sym 24871 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 24858 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] .sym 24872 memadr[0] -.sym 24874 $0\memadr[10:0][7] -.sym 24876 $0\memadr[10:0][0] -.sym 24877 $0\memadr[10:0][2] -.sym 24878 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 24885 $0\memadr[10:0][2] -.sym 24889 $0\memadr[10:0][1] -.sym 24978 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 24980 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 24982 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 24984 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 24998 $PACKER_GND_NET -.sym 25001 $abc$358$n43_1 -.sym 25003 $PACKER_VCC_NET -.sym 25005 $0\memadr[10:0][0] -.sym 25006 $PACKER_VCC_NET -.sym 25008 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 25009 $PACKER_VCC_NET -.sym 25011 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 25012 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 25019 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 25021 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 25024 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 25036 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 25037 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 25038 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 25039 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 25047 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 25057 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 25058 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 25059 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 25060 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 25075 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 25076 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 25077 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 25078 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 25101 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 25103 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 25105 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 25107 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 25126 $PACKER_GND_NET -.sym 25128 $0\memadr[10:0][1] -.sym 25132 $0\memadr[10:0][8] -.sym 25224 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 25226 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 25228 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 25230 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 25253 led_r -.sym 25258 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 25265 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 25269 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 25270 $abc$358$n40 -.sym 25274 $abc$358$n44 -.sym 25275 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 25277 $abc$358$n49_1 -.sym 25278 $abc$358$n47_1 -.sym 25279 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 25281 $abc$358$n45_1 -.sym 25282 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 25283 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 25285 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 25286 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 25293 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 25294 $abc$358$n46 -.sym 25303 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 25304 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 25305 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 25306 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 25309 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 25310 $abc$358$n46 -.sym 25312 $abc$358$n45_1 -.sym 25333 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 25334 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 25335 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 25336 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 25339 $abc$358$n47_1 -.sym 25340 $abc$358$n40 -.sym 25341 $abc$358$n44 -.sym 25342 $abc$358$n49_1 -.sym 25344 clk -.sym 25347 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 25349 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 25351 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 25353 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 25362 $0\memadr[10:0][2] -.sym 25370 $0\memadr[10:0][1] -.sym 25372 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 25374 $0\memadr[10:0][4] -.sym 25375 $0\memadr[10:0][7] -.sym 25376 $0\memadr[10:0][5] -.sym 25377 $0\memadr[10:0][2] -.sym 25378 $0\memadr[10:0][6] -.sym 25379 $0\memadr[10:0][8] -.sym 25381 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 25470 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 25472 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 25474 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 25476 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 25487 $PACKER_GND_NET -.sym 25490 $PACKER_GND_NET -.sym 25494 $PACKER_VCC_NET -.sym 25503 $PACKER_VCC_NET -.sym 25593 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 25595 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 25597 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 25599 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 24873 $0\memadr[10:0][0] +.sym 24981 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 25002 $0\memadr[10:0][0] +.sym 25003 $0\memadr[10:0][5] +.sym 25005 $0\memadr[10:0][7] +.sym 25006 $0\memadr[10:0][1] +.sym 25007 $PACKER_GND_NET +.sym 25008 $0\memadr[10:0][3] +.sym 25011 $0\memadr[10:0][4] +.sym 25012 $0\memadr[10:0][2] +.sym 25104 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 25112 $0\memadr[10:0][6] +.sym 25113 $0\memadr[10:0][0] +.sym 25114 $0\memadr[10:0][10] +.sym 25116 $0\memadr[10:0][1] +.sym 25117 $0\memadr[10:0][4] +.sym 25119 $0\memadr[10:0][8] +.sym 25121 $0\memadr[10:0][9] +.sym 25123 $0\memadr[10:0][7] +.sym 25129 $0\memadr[10:0][10] +.sym 25227 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 25235 $PACKER_VCC_NET +.sym 25243 $PACKER_GND_NET +.sym 25258 $0\memadr[10:0][10] +.sym 25350 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 25370 $0\memadr[10:0][2] +.sym 25371 $0\memadr[10:0][9] +.sym 25372 $0\memadr[10:0][5] +.sym 25376 $0\memadr[10:0][3] +.sym 25377 $0\memadr[10:0][7] +.sym 25379 $0\memadr[10:0][6] +.sym 25380 $0\memadr[10:0][4] +.sym 25473 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 25494 $0\memadr[10:0][0] +.sym 25495 $0\memadr[10:0][6] +.sym 25497 $0\memadr[10:0][7] +.sym 25498 $0\memadr[10:0][4] +.sym 25499 $PACKER_GND_NET +.sym 25500 $0\memadr[10:0][2] +.sym 25501 $0\memadr[10:0][1] +.sym 25502 $0\memadr[10:0][3] +.sym 25503 $0\memadr[10:0][5] +.sym 25596 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 25606 $0\memadr[10:0][1] +.sym 25610 $0\memadr[10:0][0] +.sym 25612 $0\memadr[10:0][8] +.sym 25622 $0\memadr[10:0][10] +.sym 25719 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] .sym 25735 $PACKER_GND_NET -.sym 25745 led_r -.sym 26111 $PACKER_GND_NET -.sym 26237 led_r -.sym 26493 $PACKER_GND_NET +.sym 25737 $PACKER_VCC_NET +.sym 25842 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 25991 $PACKER_GND_NET +.sym 25996 $PACKER_GND_NET +.sym 26352 $PACKER_GND_NET +.sym 26484 $PACKER_GND_NET .sym 26498 $PACKER_GND_NET -.sym 26511 $PACKER_GND_NET -.sym 26587 clki -.sym 28048 $0\memadr[10:0][6] -.sym 28054 $0\memadr[10:0][3] -.sym 28195 $0\memadr[10:0][0] -.sym 28200 memadr[1] -.sym 28202 $PACKER_VCC_NET -.sym 28253 $PACKER_VCC_NET -.sym 28256 $PACKER_VCC_NET -.sym 28258 $0\memadr[10:0][0] -.sym 28271 $0\memadr[10:0][5] -.sym 28273 $0\memadr[10:0][4] -.sym 28275 $0\memadr[10:0][6] -.sym 28276 $0\memadr[10:0][7] -.sym 28277 $0\memadr[10:0][2] +.sym 26507 $PACKER_GND_NET +.sym 26527 clki +.sym 26549 clki +.sym 27539 $PACKER_VCC_NET +.sym 27542 $PACKER_VCC_NET +.sym 27544 $PACKER_VCC_NET +.sym 27659 $0\memadr[10:0][9] +.sym 27663 $0\memadr[10:0][8] +.sym 27669 $0\memadr[10:0][5] +.sym 27670 $0\memadr[10:0][4] +.sym 27671 $0\memadr[10:0][7] +.sym 27672 $0\memadr[10:0][0] +.sym 27675 $0\memadr[10:0][1] +.sym 27677 $0\memadr[10:0][2] +.sym 27678 $0\memadr[10:0][3] +.sym 27679 $0\memadr[10:0][6] +.sym 27680 $PACKER_VCC_NET +.sym 27681 $0\memadr[10:0][10] +.sym 27682 $PACKER_VCC_NET +.sym 27701 $0\memadr[10:0][0] +.sym 27702 $0\memadr[10:0][1] +.sym 27703 $0\memadr[10:0][10] +.sym 27704 $0\memadr[10:0][2] +.sym 27705 $0\memadr[10:0][3] +.sym 27706 $0\memadr[10:0][4] +.sym 27707 $0\memadr[10:0][5] +.sym 27708 $0\memadr[10:0][6] +.sym 27709 $0\memadr[10:0][7] +.sym 27710 $0\memadr[10:0][8] +.sym 27711 $0\memadr[10:0][9] +.sym 27712 clk +.sym 27713 $PACKER_VCC_NET +.sym 27714 $PACKER_VCC_NET +.sym 27737 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 27739 $0\memadr[10:0][9] +.sym 27757 $PACKER_GND_NET +.sym 27768 $PACKER_VCC_NET +.sym 27814 $PACKER_GND_NET_$glb_clk +.sym 27815 $PACKER_GND_NET +.sym 27824 $PACKER_VCC_NET +.sym 27846 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 27859 $0\memadr[10:0][1] +.sym 27862 $0\memadr[10:0][4] +.sym 27864 $0\memadr[10:0][6] +.sym 27865 $0\memadr[10:0][2] +.sym 27866 $0\memadr[10:0][5] +.sym 27867 $0\memadr[10:0][8] +.sym 27868 $0\memadr[10:0][7] +.sym 27869 $0\memadr[10:0][10] +.sym 27872 $0\memadr[10:0][3] +.sym 27877 $0\memadr[10:0][9] +.sym 27881 $0\memadr[10:0][0] +.sym 27884 $PACKER_VCC_NET +.sym 27886 $PACKER_VCC_NET +.sym 27905 $0\memadr[10:0][0] +.sym 27906 $0\memadr[10:0][1] +.sym 27907 $0\memadr[10:0][10] +.sym 27908 $0\memadr[10:0][2] +.sym 27909 $0\memadr[10:0][3] +.sym 27910 $0\memadr[10:0][4] +.sym 27911 $0\memadr[10:0][5] +.sym 27912 $0\memadr[10:0][6] +.sym 27913 $0\memadr[10:0][7] +.sym 27914 $0\memadr[10:0][8] +.sym 27915 $0\memadr[10:0][9] +.sym 27916 clk +.sym 27917 $PACKER_VCC_NET +.sym 27918 $PACKER_VCC_NET +.sym 27932 $0\memadr[10:0][5] +.sym 27933 $0\memadr[10:0][1] +.sym 27934 $0\memadr[10:0][7] +.sym 27935 $PACKER_GND_NET +.sym 27938 $0\memadr[10:0][4] +.sym 27940 $0\memadr[10:0][6] +.sym 27941 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 27942 $0\memadr[10:0][3] +.sym 27947 $PACKER_VCC_NET +.sym 27950 $PACKER_VCC_NET +.sym 27952 $PACKER_VCC_NET +.sym 27972 $PACKER_VCC_NET +.sym 27977 $PACKER_GND_NET +.sym 28018 $PACKER_GND_NET_$glb_clk +.sym 28019 $PACKER_GND_NET +.sym 28028 $PACKER_VCC_NET +.sym 28042 $0\memadr[10:0][8] +.sym 28049 $PACKER_VCC_NET +.sym 28050 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 28056 $PACKER_VCC_NET +.sym 28071 $0\memadr[10:0][8] +.sym 28074 $0\memadr[10:0][7] +.sym 28077 $0\memadr[10:0][5] +.sym 28078 $0\memadr[10:0][2] +.sym 28079 $0\memadr[10:0][1] +.sym 28081 $0\memadr[10:0][9] +.sym 28082 $0\memadr[10:0][4] +.sym 28083 $0\memadr[10:0][3] +.sym 28085 $0\memadr[10:0][0] +.sym 28087 $0\memadr[10:0][6] +.sym 28088 $PACKER_VCC_NET +.sym 28089 $0\memadr[10:0][10] +.sym 28090 $PACKER_VCC_NET +.sym 28109 $0\memadr[10:0][0] +.sym 28110 $0\memadr[10:0][1] +.sym 28111 $0\memadr[10:0][10] +.sym 28112 $0\memadr[10:0][2] +.sym 28113 $0\memadr[10:0][3] +.sym 28114 $0\memadr[10:0][4] +.sym 28115 $0\memadr[10:0][5] +.sym 28116 $0\memadr[10:0][6] +.sym 28117 $0\memadr[10:0][7] +.sym 28118 $0\memadr[10:0][8] +.sym 28119 $0\memadr[10:0][9] +.sym 28120 clk +.sym 28121 $PACKER_VCC_NET +.sym 28122 $PACKER_VCC_NET +.sym 28145 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 28147 $0\memadr[10:0][9] +.sym 28151 $PACKER_VCC_NET +.sym 28154 $0\memadr[10:0][9] +.sym 28155 $0\memadr[10:0][8] +.sym 28165 $PACKER_GND_NET +.sym 28176 $PACKER_VCC_NET +.sym 28198 $abc$408$n41_1 +.sym 28222 $PACKER_GND_NET_$glb_clk +.sym 28223 $PACKER_GND_NET +.sym 28232 $PACKER_VCC_NET +.sym 28254 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 28266 $0\memadr[10:0][2] +.sym 28267 $0\memadr[10:0][1] +.sym 28270 $0\memadr[10:0][4] +.sym 28271 $0\memadr[10:0][3] +.sym 28272 $0\memadr[10:0][6] +.sym 28273 $0\memadr[10:0][0] +.sym 28276 $0\memadr[10:0][5] +.sym 28277 $0\memadr[10:0][10] .sym 28278 $PACKER_VCC_NET -.sym 28279 $0\memadr[10:0][8] -.sym 28280 $0\memadr[10:0][1] -.sym 28281 $0\memadr[10:0][3] -.sym 28289 $0\memadr[10:0][0] -.sym 28292 $PACKER_VCC_NET +.sym 28280 $0\memadr[10:0][7] +.sym 28283 $PACKER_VCC_NET +.sym 28292 $0\memadr[10:0][9] +.sym 28293 $0\memadr[10:0][8] .sym 28313 $0\memadr[10:0][0] .sym 28314 $0\memadr[10:0][1] +.sym 28315 $0\memadr[10:0][10] .sym 28316 $0\memadr[10:0][2] .sym 28317 $0\memadr[10:0][3] .sym 28318 $0\memadr[10:0][4] @@ -15166,41 +15452,50 @@ d1969f51a7c29c6e981c35a09d641a4cf5e1d1dcb495f869a77917070d693dd0 .sym 28320 $0\memadr[10:0][6] .sym 28321 $0\memadr[10:0][7] .sym 28322 $0\memadr[10:0][8] +.sym 28323 $0\memadr[10:0][9] .sym 28324 clk .sym 28325 $PACKER_VCC_NET .sym 28326 $PACKER_VCC_NET -.sym 28341 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 28344 $PACKER_VCC_NET -.sym 28346 $0\memadr[10:0][0] -.sym 28347 $0\memadr[10:0][5] -.sym 28349 $0\memadr[10:0][4] -.sym 28369 $PACKER_GND_NET -.sym 28387 $PACKER_VCC_NET -.sym 28403 $abc$358$n50 -.sym 28406 $abc$358$n49_1 +.sym 28339 $0\memadr[10:0][0] +.sym 28340 $0\memadr[10:0][2] +.sym 28341 $0\memadr[10:0][1] +.sym 28342 $0\memadr[10:0][7] +.sym 28343 $PACKER_GND_NET +.sym 28344 $0\memadr[10:0][5] +.sym 28345 $0\memadr[10:0][2] +.sym 28346 $0\memadr[10:0][4] +.sym 28347 $0\memadr[10:0][3] +.sym 28348 $0\memadr[10:0][7] +.sym 28350 $0\memadr[10:0][6] +.sym 28351 $PACKER_VCC_NET +.sym 28356 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 28358 $PACKER_VCC_NET +.sym 28361 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 28380 $PACKER_VCC_NET +.sym 28385 $PACKER_GND_NET +.sym 28404 $abc$408$n45_1 .sym 28426 $PACKER_GND_NET_$glb_clk .sym 28427 $PACKER_GND_NET .sym 28436 $PACKER_VCC_NET -.sym 28446 $0\memadr[10:0][8] -.sym 28453 $0\memadr[10:0][5] -.sym 28455 $0\memadr[10:0][3] -.sym 28457 $0\memadr[10:0][7] -.sym 28459 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 28461 $0\memadr[10:0][6] -.sym 28463 $0\memadr[10:0][4] -.sym 28470 $0\memadr[10:0][6] -.sym 28471 $0\memadr[10:0][5] -.sym 28472 $0\memadr[10:0][2] -.sym 28474 $0\memadr[10:0][8] -.sym 28475 $0\memadr[10:0][7] -.sym 28477 $0\memadr[10:0][4] -.sym 28480 $0\memadr[10:0][3] -.sym 28482 $PACKER_VCC_NET +.sym 28447 $0\memadr[10:0][10] +.sym 28458 $0\memadr[10:0][6] +.sym 28461 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 28471 $0\memadr[10:0][9] +.sym 28473 $0\memadr[10:0][7] +.sym 28474 $0\memadr[10:0][6] +.sym 28476 $0\memadr[10:0][10] +.sym 28477 $0\memadr[10:0][8] +.sym 28480 $0\memadr[10:0][5] +.sym 28481 $0\memadr[10:0][0] +.sym 28483 $0\memadr[10:0][4] .sym 28484 $0\memadr[10:0][1] -.sym 28490 $0\memadr[10:0][0] +.sym 28489 $PACKER_VCC_NET +.sym 28491 $0\memadr[10:0][3] +.sym 28495 $0\memadr[10:0][2] .sym 28496 $PACKER_VCC_NET .sym 28517 $0\memadr[10:0][0] .sym 28518 $0\memadr[10:0][1] +.sym 28519 $0\memadr[10:0][10] .sym 28520 $0\memadr[10:0][2] .sym 28521 $0\memadr[10:0][3] .sym 28522 $0\memadr[10:0][4] @@ -15208,47 +15503,45 @@ d1969f51a7c29c6e981c35a09d641a4cf5e1d1dcb495f869a77917070d693dd0 .sym 28524 $0\memadr[10:0][6] .sym 28525 $0\memadr[10:0][7] .sym 28526 $0\memadr[10:0][8] +.sym 28527 $0\memadr[10:0][9] .sym 28528 clk .sym 28529 $PACKER_VCC_NET .sym 28530 $PACKER_VCC_NET -.sym 28543 $0\memadr[10:0][4] -.sym 28547 $0\memadr[10:0][5] -.sym 28550 $0\memadr[10:0][8] -.sym 28551 $0\memadr[10:0][7] -.sym 28554 $0\memadr[10:0][6] -.sym 28562 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 28575 $PACKER_VCC_NET -.sym 28598 $PACKER_GND_NET -.sym 28606 $abc$358$n40 -.sym 28608 $abc$358$n41_1 -.sym 28610 $abc$358$n47_1 +.sym 28546 $0\memadr[10:0][5] +.sym 28549 $0\memadr[10:0][10] +.sym 28555 $0\memadr[10:0][9] +.sym 28558 $0\memadr[10:0][8] +.sym 28561 $0\memadr[10:0][9] +.sym 28562 $PACKER_VCC_NET +.sym 28564 $PACKER_VCC_NET +.sym 28582 $PACKER_GND_NET +.sym 28584 $PACKER_VCC_NET .sym 28630 $PACKER_GND_NET_$glb_clk .sym 28631 $PACKER_GND_NET .sym 28640 $PACKER_VCC_NET -.sym 28651 $0\memadr[10:0][7] +.sym 28645 $0\memadr[10:0][9] +.sym 28646 $0\memadr[10:0][2] .sym 28652 $0\memadr[10:0][4] -.sym 28653 $0\memadr[10:0][2] -.sym 28654 $0\memadr[10:0][5] -.sym 28655 $0\memadr[10:0][8] -.sym 28656 $0\memadr[10:0][6] -.sym 28659 $abc$358$n48 -.sym 28663 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 28665 $PACKER_VCC_NET -.sym 28667 $0\memadr[10:0][0] -.sym 28668 $abc$358$n42 -.sym 28673 $0\memadr[10:0][1] -.sym 28675 $PACKER_VCC_NET -.sym 28677 $PACKER_VCC_NET -.sym 28678 $0\memadr[10:0][0] -.sym 28680 $0\memadr[10:0][2] -.sym 28682 $0\memadr[10:0][5] -.sym 28684 $0\memadr[10:0][3] -.sym 28685 $0\memadr[10:0][8] +.sym 28653 $0\memadr[10:0][7] +.sym 28654 $0\memadr[10:0][3] +.sym 28655 $0\memadr[10:0][6] +.sym 28656 $0\memadr[10:0][5] +.sym 28674 $0\memadr[10:0][10] +.sym 28676 $0\memadr[10:0][4] +.sym 28677 $0\memadr[10:0][1] +.sym 28679 $0\memadr[10:0][3] +.sym 28681 $0\memadr[10:0][0] +.sym 28683 $0\memadr[10:0][2] +.sym 28684 $0\memadr[10:0][5] +.sym 28685 $0\memadr[10:0][6] .sym 28686 $0\memadr[10:0][7] -.sym 28690 $0\memadr[10:0][6] -.sym 28692 $0\memadr[10:0][4] +.sym 28693 $0\memadr[10:0][9] +.sym 28696 $0\memadr[10:0][8] +.sym 28700 $PACKER_VCC_NET +.sym 28702 $PACKER_VCC_NET .sym 28721 $0\memadr[10:0][0] .sym 28722 $0\memadr[10:0][1] +.sym 28723 $0\memadr[10:0][10] .sym 28724 $0\memadr[10:0][2] .sym 28725 $0\memadr[10:0][3] .sym 28726 $0\memadr[10:0][4] @@ -15256,33 +15549,42 @@ d1969f51a7c29c6e981c35a09d641a4cf5e1d1dcb495f869a77917070d693dd0 .sym 28728 $0\memadr[10:0][6] .sym 28729 $0\memadr[10:0][7] .sym 28730 $0\memadr[10:0][8] +.sym 28731 $0\memadr[10:0][9] .sym 28732 clk .sym 28733 $PACKER_VCC_NET .sym 28734 $PACKER_VCC_NET -.sym 28748 $abc$358$n43_1 -.sym 28766 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 28768 $0\memadr[10:0][3] -.sym 28777 $PACKER_GND_NET -.sym 28804 $PACKER_VCC_NET -.sym 28812 $abc$358$n42 +.sym 28752 $0\memadr[10:0][1] +.sym 28753 $0\memadr[10:0][4] +.sym 28754 $0\memadr[10:0][7] +.sym 28756 $PACKER_GND_NET +.sym 28757 $0\memadr[10:0][3] +.sym 28758 $0\memadr[10:0][6] +.sym 28766 $PACKER_VCC_NET +.sym 28767 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 28768 $PACKER_VCC_NET +.sym 28793 $PACKER_GND_NET +.sym 28795 $PACKER_VCC_NET +.sym 28809 $abc$408$n46 .sym 28834 $PACKER_GND_NET_$glb_clk .sym 28835 $PACKER_GND_NET .sym 28844 $PACKER_VCC_NET -.sym 28854 $PACKER_GND_NET -.sym 28864 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 28879 $0\memadr[10:0][5] -.sym 28880 $0\memadr[10:0][2] -.sym 28881 $0\memadr[10:0][1] -.sym 28882 $0\memadr[10:0][8] -.sym 28885 $0\memadr[10:0][4] -.sym 28886 $0\memadr[10:0][7] -.sym 28889 $0\memadr[10:0][6] -.sym 28896 $0\memadr[10:0][0] -.sym 28897 $PACKER_VCC_NET +.sym 28872 $PACKER_VCC_NET +.sym 28878 $0\memadr[10:0][0] +.sym 28879 $0\memadr[10:0][3] +.sym 28880 $0\memadr[10:0][8] +.sym 28882 $0\memadr[10:0][6] +.sym 28883 $0\memadr[10:0][5] +.sym 28887 $0\memadr[10:0][10] +.sym 28888 $0\memadr[10:0][7] +.sym 28889 $0\memadr[10:0][2] +.sym 28890 $0\memadr[10:0][9] +.sym 28891 $0\memadr[10:0][4] +.sym 28892 $0\memadr[10:0][1] .sym 28904 $PACKER_VCC_NET -.sym 28906 $0\memadr[10:0][3] +.sym 28906 $PACKER_VCC_NET .sym 28925 $0\memadr[10:0][0] .sym 28926 $0\memadr[10:0][1] +.sym 28927 $0\memadr[10:0][10] .sym 28928 $0\memadr[10:0][2] .sym 28929 $0\memadr[10:0][3] .sym 28930 $0\memadr[10:0][4] @@ -15290,264 +15592,1017 @@ d1969f51a7c29c6e981c35a09d641a4cf5e1d1dcb495f869a77917070d693dd0 .sym 28932 $0\memadr[10:0][6] .sym 28933 $0\memadr[10:0][7] .sym 28934 $0\memadr[10:0][8] +.sym 28935 $0\memadr[10:0][9] .sym 28936 clk .sym 28937 $PACKER_VCC_NET .sym 28938 $PACKER_VCC_NET +.sym 28963 $PACKER_VCC_NET +.sym 28966 $0\memadr[10:0][8] +.sym 28967 $PACKER_VCC_NET +.sym 28971 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 28974 $0\memadr[10:0][9] .sym 28990 $PACKER_GND_NET .sym 28992 $PACKER_VCC_NET .sym 29038 $PACKER_GND_NET_$glb_clk .sym 29039 $PACKER_GND_NET .sym 29048 $PACKER_VCC_NET -.sym 29261 $PACKER_GND_NET -.sym 29689 $PACKER_GND_NET +.sym 29081 $0\memadr[10:0][3] +.sym 29084 $0\memadr[10:0][6] +.sym 29089 $0\memadr[10:0][0] +.sym 29090 $0\memadr[10:0][1] +.sym 29091 $0\memadr[10:0][10] +.sym 29092 $0\memadr[10:0][5] +.sym 29093 $0\memadr[10:0][4] +.sym 29094 $0\memadr[10:0][7] +.sym 29095 $0\memadr[10:0][2] +.sym 29099 $PACKER_VCC_NET +.sym 29101 $PACKER_VCC_NET +.sym 29104 $0\memadr[10:0][8] +.sym 29112 $0\memadr[10:0][9] +.sym 29129 $0\memadr[10:0][0] +.sym 29130 $0\memadr[10:0][1] +.sym 29131 $0\memadr[10:0][10] +.sym 29132 $0\memadr[10:0][2] +.sym 29133 $0\memadr[10:0][3] +.sym 29134 $0\memadr[10:0][4] +.sym 29135 $0\memadr[10:0][5] +.sym 29136 $0\memadr[10:0][6] +.sym 29137 $0\memadr[10:0][7] +.sym 29138 $0\memadr[10:0][8] +.sym 29139 $0\memadr[10:0][9] +.sym 29140 clk +.sym 29141 $PACKER_VCC_NET +.sym 29142 $PACKER_VCC_NET +.sym 29157 $PACKER_GND_NET +.sym 29164 $PACKER_GND_NET +.sym 29165 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 29196 $PACKER_VCC_NET +.sym 29201 $PACKER_GND_NET +.sym 29242 $PACKER_GND_NET_$glb_clk +.sym 29243 $PACKER_GND_NET +.sym 29252 $PACKER_VCC_NET .sym 29698 $PACKER_GND_NET -.sym 29707 $PACKER_GND_NET -.sym 31818 $PACKER_VCC_NET -.sym 31823 memadr[0] -.sym 31825 $0\memadr[10:0][1] -.sym 31836 $PACKER_VCC_NET -.sym 31838 memadr[0] -.sym 31869 $0\memadr[10:0][1] -.sym 31883 clk -.sym 31899 memadr[1] -.sym 31900 $0\memadr[10:0][7] -.sym 31902 $0\memadr[10:0][3] -.sym 31904 $0\memadr[10:0][4] -.sym 31906 $0\memadr[10:0][5] -.sym 31908 $0\memadr[10:0][6] -.sym 32038 $abc$358$n49_1 -.sym 32050 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 32068 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 32074 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 32076 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 32077 $abc$358$n50 -.sym 32078 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 32106 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 32107 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 32108 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 32124 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 32125 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 32126 $abc$358$n50 -.sym 32143 $PACKER_VCC_NET -.sym 32270 $0\memadr[10:0][3] -.sym 32302 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 32305 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 32307 $abc$358$n43_1 -.sym 32310 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 32313 $abc$358$n42 -.sym 32314 $abc$358$n48 -.sym 32316 $abc$358$n41_1 -.sym 32318 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 32320 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 32322 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 32326 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 32346 $abc$358$n43_1 -.sym 32347 $abc$358$n42 -.sym 32348 $abc$358$n41_1 -.sym 32358 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 32359 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 32360 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 32361 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 32370 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 32371 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 32372 $abc$358$n48 -.sym 32373 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 32393 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 32558 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 32560 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 32568 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 32604 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 32605 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 32606 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 35593 memadr[2] -.sym 35598 memadr[6] -.sym 35600 memadr[3] -.sym 35871 $0\memadr[10:0][2] -.sym 35986 $PACKER_GND_NET -.sym 39426 $0\memadr[10:0][2] -.sym 39427 $0\memadr[10:0][3] -.sym 39428 $0\memadr[10:0][4] -.sym 39429 $0\memadr[10:0][5] -.sym 39430 $0\memadr[10:0][6] -.sym 39431 $0\memadr[10:0][7] -.sym 39449 $0\memadr[10:0][4] -.sym 39451 $0\memadr[10:0][5] -.sym 39452 $0\memadr[10:0][8] -.sym 39453 $0\memadr[10:0][6] -.sym 39455 $0\memadr[10:0][7] -.sym 39484 $0\memadr[10:0][3] -.sym 39487 $0\memadr[10:0][6] -.sym 39491 $0\memadr[10:0][2] -.sym 39501 $0\memadr[10:0][2] -.sym 39529 $0\memadr[10:0][6] -.sym 39542 $0\memadr[10:0][3] -.sym 39545 clk -.sym 39547 $0\memadr[10:0][8] -.sym 39548 memadr[7] -.sym 39550 memadr[8] -.sym 39551 memadr[4] -.sym 39553 memadr[5] -.sym 39564 $0\memadr[10:0][7] +.sym 29709 $PACKER_GND_NET +.sym 31287 $PACKER_VCC_NET +.sym 31289 $PACKER_VCC_NET +.sym 31290 $PACKER_VCC_NET +.sym 31296 $0\memadr[10:0][0] +.sym 31549 $0\memadr[10:0][0] +.sym 31766 $0\memadr[10:0][0] +.sym 31774 $PACKER_VCC_NET +.sym 31779 $PACKER_VCC_NET +.sym 31782 $PACKER_VCC_NET +.sym 31787 $0\memadr[10:0][0] +.sym 31807 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 31823 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 31831 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 31833 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 31854 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 31855 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 31856 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 31857 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 31903 $0\memadr[10:0][6] +.sym 31904 $PACKER_VCC_NET +.sym 31912 $abc$408$n41_1 +.sym 32021 $0\memadr[10:0][9] +.sym 32024 $PACKER_VCC_NET +.sym 32026 $0\memadr[10:0][8] +.sym 32027 $PACKER_VCC_NET +.sym 32030 $0\memadr[10:0][8] +.sym 32050 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 32053 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 32061 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 32074 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 32112 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 32113 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 32114 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 32115 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 32145 $abc$408$n45_1 +.sym 32274 $PACKER_VCC_NET +.sym 32276 $PACKER_VCC_NET +.sym 32282 $abc$408$n46 +.sym 32391 $PACKER_VCC_NET +.sym 32513 $PACKER_VCC_NET +.sym 32515 $PACKER_VCC_NET +.sym 32522 $0\memadr[10:0][8] +.sym 32545 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 32553 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 32561 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 32569 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 32586 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 32587 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 32588 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 32589 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 35617 $0\memadr[10:0][0] +.sym 35634 $PACKER_VCC_NET +.sym 35651 memadr[0] +.sym 35691 $PACKER_VCC_NET +.sym 35694 memadr[0] +.sym 35738 $0\memadr[10:0][0] +.sym 35869 $PACKER_GND_NET +.sym 35981 $abc$408$n46 +.sym 36101 $abc$408$n41_1 +.sym 36113 $0\memadr[10:0][1] +.sym 36114 $0\memadr[10:0][0] +.sym 36361 $PACKER_GND_NET +.sym 36849 $PACKER_GND_NET +.sym 36966 led_r +.sym 38826 $0\memadr[10:0][9] +.sym 38830 $0\memadr[10:0][8] +.sym 39075 $0\memadr[10:0][3] +.sym 39204 $0\memadr[10:0][3] +.sym 39205 $PACKER_GND_NET +.sym 39313 $0\memadr[10:0][7] +.sym 39316 $0\memadr[10:0][8] .sym 39565 memadr[0] -.sym 39570 $0\memadr[10:0][2] -.sym 39571 $0\memadr[10:0][2] -.sym 39575 $0\memadr[10:0][4] -.sym 39577 $0\memadr[10:0][5] -.sym 39579 $0\memadr[10:0][6] -.sym 39580 $0\memadr[10:0][8] -.sym 39581 $0\memadr[10:0][7] -.sym 40180 $PACKER_GND_NET -.sym 40184 $PACKER_GND_NET -.sym 40317 $PACKER_GND_NET +.sym 39568 $PACKER_GND_NET +.sym 39696 $0\memadr[10:0][3] +.sym 39697 $PACKER_GND_NET +.sym 39698 $0\memadr[10:0][7] +.sym 39702 $0\memadr[10:0][6] +.sym 39704 $0\memadr[10:0][4] +.sym 39705 $0\memadr[10:0][1] +.sym 39805 $0\memadr[10:0][4] +.sym 39808 $0\memadr[10:0][1] +.sym 39809 $0\memadr[10:0][10] +.sym 39811 $0\memadr[10:0][6] +.sym 39812 $0\memadr[10:0][8] +.sym 39813 $0\memadr[10:0][7] +.sym 39814 $0\memadr[10:0][9] +.sym 39934 $PACKER_VCC_NET +.sym 39936 $PACKER_GND_NET +.sym 40189 $PACKER_GND_NET +.sym 40197 $PACKER_GND_NET +.sym 40305 $0\memadr[10:0][8] .sym 40428 $PACKER_GND_NET -.sym 41280 clki -.sym 43296 memadr[2] -.sym 43297 memadr[0] -.sym 43301 memadr[6] -.sym 43305 memadr[7] -.sym 43308 memadr[4] -.sym 43310 memadr[5] -.sym 43311 memadr[3] -.sym 43327 memadr[1] -.sym 43328 $nextpnr_ICESTORM_LC_0$O -.sym 43330 memadr[0] -.sym 43334 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 43336 memadr[1] -.sym 43340 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 43343 memadr[2] -.sym 43344 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 43346 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 43348 memadr[3] -.sym 43350 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 43352 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 43354 memadr[4] -.sym 43356 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 43358 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 43361 memadr[5] -.sym 43362 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 43364 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 43366 memadr[6] -.sym 43368 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 43370 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 43372 memadr[7] -.sym 43374 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 43392 $0\memadr[10:0][5] -.sym 43400 $0\memadr[10:0][4] -.sym 43405 $0\memadr[10:0][3] -.sym 43414 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 43423 $0\memadr[10:0][4] -.sym 43430 memadr[8] -.sym 43432 $0\memadr[10:0][5] -.sym 43434 $0\memadr[10:0][7] -.sym 43435 $0\memadr[10:0][8] -.sym 43454 memadr[8] -.sym 43455 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 43461 $0\memadr[10:0][7] -.sym 43471 $0\memadr[10:0][8] -.sym 43476 $0\memadr[10:0][4] -.sym 43488 $0\memadr[10:0][5] -.sym 43499 clk -.sym 43513 $0\memadr[10:0][8] -.sym 44010 $PACKER_GND_NET +.sym 40430 $PACKER_VCC_NET +.sym 42662 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 42904 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 43030 $0\memadr[10:0][8] +.sym 43150 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 43391 $0\memadr[10:0][2] +.sym 43392 $0\memadr[10:0][1] +.sym 43393 $0\memadr[10:0][7] +.sym 43394 $PACKER_GND_NET +.sym 43395 $0\memadr[10:0][3] +.sym 43397 $0\memadr[10:0][4] +.sym 43399 $0\memadr[10:0][5] +.sym 43401 $0\memadr[10:0][6] +.sym 43514 $0\memadr[10:0][10] +.sym 43641 $0\memadr[10:0][5] +.sym 43646 $0\memadr[10:0][10] +.sym 43657 $0\memadr[10:0][8] +.sym 43761 $0\memadr[10:0][7] +.sym 43765 $0\memadr[10:0][9] +.sym 43766 $0\memadr[10:0][2] +.sym 43767 $0\memadr[10:0][5] +.sym 43768 $0\memadr[10:0][3] +.sym 43769 $0\memadr[10:0][6] +.sym 43770 $0\memadr[10:0][4] +.sym 44380 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 45273 $PACKER_GND_NET +.sym 45395 $PACKER_GND_NET +.sym 45887 $PACKER_GND_NET +.sym 46379 $PACKER_GND_NET +.sym 46611 $PACKER_VCC_NET +.sym 46617 $PACKER_VCC_NET +.sym 46875 $PACKER_GND_NET +.sym 47086 memadr[5] +.sym 47087 $0\memadr[10:0][1] +.sym 47091 $PACKER_GND_NET +.sym 47092 memadr[1] +.sym 47098 $PACKER_VCC_NET +.sym 47106 $PACKER_VCC_NET +.sym 47113 $PACKER_GND_NET +.sym 47225 $0\memadr[10:0][5] +.sym 47227 $0\memadr[10:0][6] +.sym 47228 $PACKER_VCC_NET +.sym 47230 $0\memadr[10:0][1] +.sym 47346 $0\memadr[10:0][9] +.sym 47348 $PACKER_VCC_NET +.sym 47354 $0\memadr[10:0][8] +.sym 47469 $abc$408$n45_1 +.sym 47590 $PACKER_VCC_NET +.sym 47595 $PACKER_VCC_NET +.sym 47715 $PACKER_VCC_NET +.sym 47837 $PACKER_VCC_NET +.sym 47846 $PACKER_VCC_NET +.sym 48094 led_r .sym 48882 $PACKER_GND_NET -.sym 48895 $PACKER_GND_NET -.sym 48927 $PACKER_GND_NET -.sym 50190 $PACKER_GND_NET -.sym 51663 $PACKER_GND_NET -.sym 52713 clki -.sym 52737 clki -.sym 55499 $PACKER_GND_NET -.sym 55505 $PACKER_GND_NET -.sym 55752 $PACKER_GND_NET -.sym 59333 $PACKER_GND_NET -.sym 67377 $PACKER_GND_NET -.sym 67869 $PACKER_GND_NET -.sym 70827 $PACKER_GND_NET -.sym 70962 $PACKER_GND_NET -.sym 71074 $PACKER_GND_NET -.sym 71455 $PACKER_GND_NET +.sym 48906 $PACKER_GND_NET +.sym 50449 $0\memadr[10:0][8] +.sym 50451 $0\memadr[10:0][9] +.sym 50562 $PACKER_GND_NET +.sym 50697 $0\memadr[10:0][1] +.sym 50702 $0\memadr[10:0][3] +.sym 50794 memadr[4] +.sym 50799 memadr[7] +.sym 50919 $0\memadr[10:0][2] +.sym 50920 $0\memadr[10:0][3] +.sym 50921 $0\memadr[10:0][4] +.sym 50922 $0\memadr[10:0][5] +.sym 50923 $0\memadr[10:0][6] +.sym 50924 $0\memadr[10:0][7] +.sym 50942 $0\memadr[10:0][4] +.sym 50943 $PACKER_GND_NET +.sym 50945 $0\memadr[10:0][8] +.sym 50946 $0\memadr[10:0][6] +.sym 50947 $0\memadr[10:0][9] +.sym 50948 $0\memadr[10:0][7] +.sym 50949 $0\memadr[10:0][10] +.sym 50951 $0\memadr[10:0][1] +.sym 50959 $0\memadr[10:0][1] +.sym 50979 $0\memadr[10:0][5] +.sym 50983 memadr[0] +.sym 50988 memadr[1] +.sym 50992 $0\memadr[10:0][5] +.sym 50999 memadr[1] +.sym 51000 memadr[0] +.sym 51028 $0\memadr[10:0][1] +.sym 51038 clk +.sym 51040 $0\memadr[10:0][8] +.sym 51041 $0\memadr[10:0][9] +.sym 51042 $0\memadr[10:0][10] +.sym 51043 memadr[8] +.sym 51045 memadr[9] +.sym 51046 memadr[10] +.sym 51047 memadr[3] +.sym 51053 $0\memadr[10:0][6] +.sym 51054 $PACKER_GND_NET +.sym 51055 $0\memadr[10:0][3] +.sym 51056 $0\memadr[10:0][1] +.sym 51057 $0\memadr[10:0][7] +.sym 51062 $0\memadr[10:0][0] +.sym 51063 $0\memadr[10:0][2] +.sym 51071 $PACKER_GND_NET +.sym 51182 $0\memadr[10:0][8] +.sym 51184 $0\memadr[10:0][9] +.sym 51186 $0\memadr[10:0][10] +.sym 51302 $PACKER_GND_NET +.sym 51305 $abc$408$n46 +.sym 51425 $abc$408$n41_1 +.sym 51433 $0\memadr[10:0][8] +.sym 51563 $PACKER_GND_NET +.sym 51565 $PACKER_VCC_NET +.sym 51791 led_r +.sym 54146 $0\memadr[10:0][8] +.sym 54155 $0\memadr[10:0][9] +.sym 54284 $0\memadr[10:0][6] +.sym 54287 $0\memadr[10:0][5] +.sym 54288 $0\memadr[10:0][4] +.sym 54290 $0\memadr[10:0][10] +.sym 54401 $0\memadr[10:0][1] +.sym 54530 $0\memadr[10:0][2] +.sym 54532 $0\memadr[10:0][3] +.sym 54534 $0\memadr[10:0][4] +.sym 54536 $0\memadr[10:0][5] +.sym 54644 $0\memadr[10:0][4] +.sym 54649 $0\memadr[10:0][8] +.sym 54678 $0\memadr[10:0][4] +.sym 54681 $0\memadr[10:0][7] +.sym 54702 $0\memadr[10:0][4] +.sym 54732 $0\memadr[10:0][7] +.sym 54746 clk +.sym 54750 memadr[6] +.sym 54752 memadr[2] +.sym 54772 $0\memadr[10:0][4] +.sym 54774 $0\memadr[10:0][5] +.sym 54776 $0\memadr[10:0][6] +.sym 54777 $0\memadr[10:0][8] +.sym 54778 $0\memadr[10:0][7] +.sym 54779 $0\memadr[10:0][9] +.sym 54781 $0\memadr[10:0][10] +.sym 54789 memadr[4] +.sym 54793 memadr[0] +.sym 54794 memadr[7] +.sym 54797 memadr[5] +.sym 54803 memadr[1] +.sym 54804 memadr[3] +.sym 54815 memadr[6] +.sym 54817 memadr[2] +.sym 54821 $nextpnr_ICESTORM_LC_0$O +.sym 54824 memadr[0] +.sym 54827 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 54830 memadr[1] +.sym 54833 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 54835 memadr[2] +.sym 54837 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 54839 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 54841 memadr[3] +.sym 54843 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 54845 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 54848 memadr[4] +.sym 54849 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 54851 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 54854 memadr[5] +.sym 54855 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 54857 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 54859 memadr[6] +.sym 54861 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 54863 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 54866 memadr[7] +.sym 54867 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 54885 $0\memadr[10:0][5] +.sym 54889 memadr[0] +.sym 54891 $0\memadr[10:0][3] +.sym 54893 $0\memadr[10:0][4] +.sym 54896 $0\memadr[10:0][2] +.sym 54898 $0\memadr[10:0][3] +.sym 54900 $0\memadr[10:0][4] +.sym 54902 $0\memadr[10:0][5] +.sym 54904 $0\memadr[10:0][6] +.sym 54905 $0\memadr[10:0][9] +.sym 54906 $0\memadr[10:0][7] +.sym 54907 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 54918 memadr[10] +.sym 54920 $0\memadr[10:0][8] +.sym 54923 $0\memadr[10:0][3] +.sym 54930 $0\memadr[10:0][10] +.sym 54931 memadr[8] +.sym 54933 memadr[9] +.sym 54937 $0\memadr[10:0][9] +.sym 54944 $auto$alumacc.cc:474:replace_alu$167.C[9] +.sym 54946 memadr[8] +.sym 54948 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 54950 $auto$alumacc.cc:474:replace_alu$167.C[10] +.sym 54953 memadr[9] +.sym 54954 $auto$alumacc.cc:474:replace_alu$167.C[9] +.sym 54959 memadr[10] +.sym 54960 $auto$alumacc.cc:474:replace_alu$167.C[10] +.sym 54965 $0\memadr[10:0][8] +.sym 54978 $0\memadr[10:0][9] +.sym 54983 $0\memadr[10:0][10] +.sym 54990 $0\memadr[10:0][3] +.sym 54992 clk +.sym 54998 $abc$408$n44 +.sym 55019 $0\memadr[10:0][10] +.sym 55134 $PACKER_GND_NET +.sym 55262 $PACKER_VCC_NET +.sym 57978 $0\memadr[10:0][10] +.sym 57979 $0\memadr[10:0][4] +.sym 57982 $0\memadr[10:0][6] +.sym 57983 $0\memadr[10:0][5] +.sym 57985 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 58221 $0\memadr[10:0][3] +.sym 58223 $0\memadr[10:0][2] +.sym 58224 $0\memadr[10:0][5] +.sym 58225 $0\memadr[10:0][4] +.sym 58227 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 58235 $PACKER_VCC_NET +.sym 58362 $0\memadr[10:0][1] +.sym 58467 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 58468 $0\memadr[10:0][10] +.sym 58473 $0\memadr[10:0][8] +.sym 58474 $0\memadr[10:0][6] +.sym 58475 $0\memadr[10:0][9] +.sym 58476 $0\memadr[10:0][7] +.sym 58477 $0\memadr[10:0][5] +.sym 58629 $0\memadr[10:0][2] +.sym 58633 $0\memadr[10:0][6] +.sym 58665 $0\memadr[10:0][6] +.sym 58677 $0\memadr[10:0][2] +.sym 58699 clk +.sym 58725 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 58726 $PACKER_VCC_NET +.sym 58852 $PACKER_VCC_NET +.sym 58885 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 58886 $abc$408$n46 +.sym 58888 $abc$408$n45_1 +.sym 58922 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 58923 $abc$408$n46 +.sym 58925 $abc$408$n45_1 +.sym 58947 $PACKER_VCC_NET +.sym 58959 $0\memadr[10:0][9] +.sym 58960 $0\memadr[10:0][7] +.sym 58961 $0\memadr[10:0][8] +.sym 58963 $0\memadr[10:0][10] +.sym 58966 $0\memadr[10:0][6] +.sym 58967 $0\memadr[10:0][9] +.sym 58968 $0\memadr[10:0][4] +.sym 58969 $abc$408$n44 +.sym 58970 $0\memadr[10:0][5] +.sym 58980 $PACKER_VCC_NET +.sym 59089 $PACKER_VCC_NET +.sym 59213 $0\memadr[10:0][10] +.sym 59697 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 62051 $0\memadr[10:0][1] +.sym 62063 $PACKER_VCC_NET +.sym 62190 $0\memadr[10:0][3] +.sym 62192 $0\memadr[10:0][6] +.sym 62194 $0\memadr[10:0][7] +.sym 62195 $0\memadr[10:0][0] +.sym 62309 $0\memadr[10:0][9] +.sym 62311 $0\memadr[10:0][10] +.sym 62319 $0\memadr[10:0][8] +.sym 62420 $PACKER_VCC_NET +.sym 62427 $PACKER_VCC_NET +.sym 62545 $0\memadr[10:0][1] +.sym 62547 $0\memadr[10:0][5] +.sym 62555 $PACKER_VCC_NET +.sym 62684 $0\memadr[10:0][1] +.sym 62809 $PACKER_VCC_NET +.sym 62912 $PACKER_VCC_NET +.sym 62922 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 62933 led_r +.sym 63038 $PACKER_VCC_NET +.sym 63162 $PACKER_VCC_NET +.sym 65760 $PACKER_VCC_NET +.sym 65767 $PACKER_VCC_NET +.sym 65886 $0\memadr[10:0][7] +.sym 65889 $0\memadr[10:0][3] +.sym 65890 $PACKER_GND_NET +.sym 65891 $0\memadr[10:0][6] +.sym 65892 $0\memadr[10:0][0] +.sym 66006 $0\memadr[10:0][9] +.sym 66008 $0\memadr[10:0][8] +.sym 66015 $0\memadr[10:0][10] +.sym 66252 $PACKER_VCC_NET +.sym 66259 $PACKER_VCC_NET +.sym 66374 $0\memadr[10:0][2] +.sym 66375 $PACKER_GND_NET +.sym 66376 $0\memadr[10:0][1] +.sym 66377 $0\memadr[10:0][0] +.sym 66378 $0\memadr[10:0][3] +.sym 66379 $0\memadr[10:0][7] +.sym 66380 $0\memadr[10:0][0] +.sym 66381 $0\memadr[10:0][2] +.sym 66382 $PACKER_GND_NET +.sym 66384 $0\memadr[10:0][0] +.sym 66385 $0\memadr[10:0][6] +.sym 66497 $0\memadr[10:0][10] +.sym 66499 $0\memadr[10:0][9] +.sym 66504 $0\memadr[10:0][8] +.sym 66506 $0\memadr[10:0][9] +.sym 66507 $0\memadr[10:0][8] +.sym 66508 $PACKER_VCC_NET +.sym 66518 $0\memadr[10:0][4] +.sym 66520 $0\memadr[10:0][5] +.sym 66622 $PACKER_GND_NET +.sym 66630 led_r +.sym 66744 $PACKER_VCC_NET +.sym 66747 $abc$408$n41_1 +.sym 66764 $PACKER_GND_NET +.sym 66875 $0\memadr[10:0][1] +.sym 66994 $PACKER_VCC_NET +.sym 67001 $0\memadr[10:0][5] +.sym 67010 $0\memadr[10:0][4] +.sym 67257 $PACKER_GND_NET +.sym 67745 $PACKER_GND_NET +.sym 69473 $0\memadr[10:0][8] +.sym 69477 $0\memadr[10:0][9] +.sym 69583 $abc$408$n48 +.sym 69605 $abc$408$n48 +.sym 69608 $0\memadr[10:0][7] +.sym 69611 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 69723 $0\memadr[10:0][1] +.sym 69825 $abc$408$n47_1 +.sym 69966 $0\memadr[10:0][4] +.sym 70097 $abc$408$n47_1 +.sym 70207 $0\memadr[10:0][5] +.sym 70208 $0\memadr[10:0][4] +.sym 70216 $0\memadr[10:0][3] +.sym 70316 led_r +.sym 70452 $abc$408$n40 +.sym 70586 $0\memadr[10:0][7] +.sym 70588 $0\memadr[10:0][8] +.sym 70589 $0\memadr[10:0][10] +.sym 70590 $0\memadr[10:0][6] +.sym 70592 $0\memadr[10:0][4] +.sym 70593 $abc$408$n49_1 +.sym 70594 $0\memadr[10:0][5] +.sym 70596 $0\memadr[10:0][9] +.sym 70699 $0\memadr[10:0][5] +.sym 70701 $0\memadr[10:0][4] +.sym 70811 $abc$408$n49_1 +.sym 70813 $abc$408$n50 +.sym 70833 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 70839 $0\memadr[10:0][10] +.sym 71198 $0\memadr[10:0][5] +.sym 71199 $0\memadr[10:0][4] .sym 71837 $PACKER_GND_NET -.sym 71857 $PACKER_GND_NET -.sym 74651 $PACKER_GND_NET -.sym 75656 $PACKER_GND_NET +.sym 71861 $PACKER_GND_NET +.sym 71863 $PACKER_GND_NET +.sym 73167 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 73188 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 73194 $0\memadr[10:0][1] +.sym 73290 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 73300 $0\memadr[10:0][4] +.sym 73301 $0\memadr[10:0][10] +.sym 73307 $0\memadr[10:0][7] +.sym 73308 $0\memadr[10:0][6] +.sym 73309 $0\memadr[10:0][5] +.sym 73413 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 73437 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 73458 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 73462 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 73466 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 73470 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 73513 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 73514 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 73515 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 73516 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 73536 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 73546 $0\memadr[10:0][4] +.sym 73548 $0\memadr[10:0][5] +.sym 73549 $0\memadr[10:0][3] +.sym 73550 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 73552 $0\memadr[10:0][2] +.sym 73557 $PACKER_VCC_NET +.sym 73560 $PACKER_VCC_NET +.sym 73563 $PACKER_VCC_NET +.sym 73564 $PACKER_VCC_NET +.sym 73659 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 73684 $0\memadr[10:0][1] +.sym 73696 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 73706 $abc$408$n48 +.sym 73709 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 73724 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 73735 $abc$408$n48 +.sym 73736 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 73737 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 73738 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 73782 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 73790 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 73791 $0\memadr[10:0][6] +.sym 73794 $abc$408$n47_1 +.sym 73795 $0\memadr[10:0][7] +.sym 73796 $0\memadr[10:0][10] +.sym 73798 $0\memadr[10:0][9] +.sym 73799 $0\memadr[10:0][8] +.sym 73800 $0\memadr[10:0][5] +.sym 73905 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 74028 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 74049 $PACKER_VCC_NET +.sym 74055 $PACKER_VCC_NET +.sym 74151 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 74177 $0\memadr[10:0][5] +.sym 74182 $0\memadr[10:0][1] +.sym 74188 $abc$408$n44 +.sym 74190 $abc$408$n47_1 +.sym 74191 $abc$408$n49_1 +.sym 74200 $abc$408$n40 +.sym 74221 $abc$408$n47_1 +.sym 74222 $abc$408$n49_1 +.sym 74223 $abc$408$n44 +.sym 74224 $abc$408$n40 +.sym 74268 clk +.sym 74274 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 74285 $abc$408$n49_1 +.sym 74286 $0\memadr[10:0][10] +.sym 74287 $0\memadr[10:0][9] +.sym 74289 $0\memadr[10:0][6] +.sym 74290 $0\memadr[10:0][8] +.sym 74291 $0\memadr[10:0][4] +.sym 74292 $abc$408$n44 +.sym 74293 $0\memadr[10:0][7] +.sym 74397 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 74415 $PACKER_VCC_NET +.sym 74418 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 74520 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 74536 $0\memadr[10:0][10] +.sym 74541 $PACKER_VCC_NET +.sym 74544 $PACKER_VCC_NET +.sym 74643 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 74672 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 74688 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 74693 $abc$408$n50 +.sym 74696 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 74704 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 74708 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 74709 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 74731 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 74732 $abc$408$n50 +.sym 74734 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 74743 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 74744 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 74745 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 74766 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 74775 $0\memadr[10:0][7] +.sym 74777 $0\memadr[10:0][6] +.sym 74778 $0\memadr[10:0][10] +.sym 74779 $0\memadr[10:0][5] +.sym 74781 $0\memadr[10:0][9] +.sym 74783 $0\memadr[10:0][4] +.sym 74785 $0\memadr[10:0][8] +.sym 74792 $PACKER_VCC_NET +.sym 74795 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 74889 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 75012 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 75028 $0\memadr[10:0][10] +.sym 75036 $PACKER_VCC_NET .sym 75668 $PACKER_GND_NET .sym 75671 $PACKER_GND_NET .sym 75682 $PACKER_GND_NET .sym 75690 $PACKER_GND_NET -.sym 75695 $PACKER_GND_NET -.sym 77879 $PACKER_GND_NET +.sym 76705 $PACKER_VCC_NET +.sym 76712 $PACKER_VCC_NET +.sym 76807 $0\memadr[10:0][2] +.sym 76814 $PACKER_GND_NET +.sym 76816 $0\memadr[10:0][0] +.sym 76817 $0\memadr[10:0][3] +.sym 76823 $0\memadr[10:0][3] +.sym 76824 $0\memadr[10:0][2] +.sym 76825 $0\memadr[10:0][7] +.sym 76826 $0\memadr[10:0][0] +.sym 76827 $0\memadr[10:0][5] +.sym 76828 $0\memadr[10:0][6] +.sym 76830 $0\memadr[10:0][4] +.sym 76837 $0\memadr[10:0][10] +.sym 76839 $0\memadr[10:0][9] +.sym 76843 $PACKER_VCC_NET +.sym 76845 $0\memadr[10:0][1] +.sym 76850 $PACKER_VCC_NET +.sym 76851 $0\memadr[10:0][8] +.sym 76871 $0\memadr[10:0][0] +.sym 76872 $0\memadr[10:0][1] +.sym 76873 $0\memadr[10:0][10] +.sym 76874 $0\memadr[10:0][2] +.sym 76875 $0\memadr[10:0][3] +.sym 76876 $0\memadr[10:0][4] +.sym 76877 $0\memadr[10:0][5] +.sym 76878 $0\memadr[10:0][6] +.sym 76879 $0\memadr[10:0][7] +.sym 76880 $0\memadr[10:0][8] +.sym 76881 $0\memadr[10:0][9] +.sym 76882 clk +.sym 76883 $PACKER_VCC_NET +.sym 76884 $PACKER_VCC_NET +.sym 76909 $0\memadr[10:0][9] +.sym 76912 $0\memadr[10:0][10] +.sym 76919 $0\memadr[10:0][8] +.sym 76945 $PACKER_VCC_NET +.sym 76952 $PACKER_GND_NET +.sym 76984 $PACKER_GND_NET_$glb_clk +.sym 76985 $PACKER_GND_NET +.sym 76994 $PACKER_VCC_NET +.sym 77030 $0\memadr[10:0][2] +.sym 77033 $0\memadr[10:0][3] +.sym 77034 $0\memadr[10:0][4] +.sym 77042 $0\memadr[10:0][5] +.sym 77043 $0\memadr[10:0][1] +.sym 77047 $0\memadr[10:0][9] +.sym 77048 $0\memadr[10:0][0] +.sym 77050 $0\memadr[10:0][10] +.sym 77053 $0\memadr[10:0][6] +.sym 77054 $PACKER_VCC_NET +.sym 77056 $PACKER_VCC_NET +.sym 77057 $0\memadr[10:0][8] +.sym 77058 $0\memadr[10:0][7] +.sym 77075 $0\memadr[10:0][0] +.sym 77076 $0\memadr[10:0][1] +.sym 77077 $0\memadr[10:0][10] +.sym 77078 $0\memadr[10:0][2] +.sym 77079 $0\memadr[10:0][3] +.sym 77080 $0\memadr[10:0][4] +.sym 77081 $0\memadr[10:0][5] +.sym 77082 $0\memadr[10:0][6] +.sym 77083 $0\memadr[10:0][7] +.sym 77084 $0\memadr[10:0][8] +.sym 77085 $0\memadr[10:0][9] +.sym 77086 clk +.sym 77087 $PACKER_VCC_NET +.sym 77088 $PACKER_VCC_NET +.sym 77113 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 77120 $PACKER_VCC_NET +.sym 77156 $PACKER_GND_NET +.sym 77158 $PACKER_VCC_NET +.sym 77188 $PACKER_GND_NET_$glb_clk +.sym 77189 $PACKER_GND_NET +.sym 77198 $PACKER_VCC_NET +.sym 77219 $0\memadr[10:0][2] +.sym 77222 $PACKER_GND_NET +.sym 77224 $0\memadr[10:0][3] +.sym 77225 $0\memadr[10:0][0] +.sym 77232 $0\memadr[10:0][10] +.sym 77235 $PACKER_VCC_NET +.sym 77236 $0\memadr[10:0][2] +.sym 77237 $0\memadr[10:0][7] +.sym 77241 $0\memadr[10:0][8] +.sym 77242 $0\memadr[10:0][9] +.sym 77243 $0\memadr[10:0][6] +.sym 77244 $0\memadr[10:0][5] +.sym 77247 $0\memadr[10:0][3] +.sym 77250 $0\memadr[10:0][0] +.sym 77251 $0\memadr[10:0][1] +.sym 77252 $0\memadr[10:0][4] +.sym 77258 $PACKER_VCC_NET +.sym 77279 $0\memadr[10:0][0] +.sym 77280 $0\memadr[10:0][1] +.sym 77281 $0\memadr[10:0][10] +.sym 77282 $0\memadr[10:0][2] +.sym 77283 $0\memadr[10:0][3] +.sym 77284 $0\memadr[10:0][4] +.sym 77285 $0\memadr[10:0][5] +.sym 77286 $0\memadr[10:0][6] +.sym 77287 $0\memadr[10:0][7] +.sym 77288 $0\memadr[10:0][8] +.sym 77289 $0\memadr[10:0][9] +.sym 77290 clk +.sym 77291 $PACKER_VCC_NET +.sym 77292 $PACKER_VCC_NET +.sym 77317 $0\memadr[10:0][9] +.sym 77318 $0\memadr[10:0][10] +.sym 77320 $0\memadr[10:0][8] +.sym 77353 $PACKER_VCC_NET +.sym 77360 $PACKER_GND_NET +.sym 77369 $abc$408$n42 +.sym 77392 $PACKER_GND_NET_$glb_clk +.sym 77393 $PACKER_GND_NET +.sym 77402 $PACKER_VCC_NET +.sym 77420 $abc$408$n42 +.sym 77453 $0\memadr[10:0][5] +.sym 77454 $0\memadr[10:0][4] +.sym 77455 $0\memadr[10:0][9] +.sym 77456 $0\memadr[10:0][10] +.sym 77457 $0\memadr[10:0][7] +.sym 77458 $0\memadr[10:0][8] +.sym 77459 $0\memadr[10:0][2] +.sym 77460 $0\memadr[10:0][3] +.sym 77462 $PACKER_VCC_NET +.sym 77463 $0\memadr[10:0][6] +.sym 77464 $PACKER_VCC_NET +.sym 77465 $0\memadr[10:0][0] +.sym 77466 $0\memadr[10:0][1] +.sym 77483 $0\memadr[10:0][0] +.sym 77484 $0\memadr[10:0][1] +.sym 77485 $0\memadr[10:0][10] +.sym 77486 $0\memadr[10:0][2] +.sym 77487 $0\memadr[10:0][3] +.sym 77488 $0\memadr[10:0][4] +.sym 77489 $0\memadr[10:0][5] +.sym 77490 $0\memadr[10:0][6] +.sym 77491 $0\memadr[10:0][7] +.sym 77492 $0\memadr[10:0][8] +.sym 77493 $0\memadr[10:0][9] +.sym 77494 clk +.sym 77495 $PACKER_VCC_NET +.sym 77496 $PACKER_VCC_NET +.sym 77524 $abc$408$n41_1 +.sym 77526 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 77529 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 77557 $PACKER_VCC_NET +.sym 77564 $PACKER_GND_NET +.sym 77571 $abc$408$n40 +.sym 77576 $abc$408$n43_1 +.sym 77596 $PACKER_GND_NET_$glb_clk +.sym 77597 $PACKER_GND_NET +.sym 77606 $PACKER_VCC_NET +.sym 77623 $0\memadr[10:0][0] +.sym 77624 $0\memadr[10:0][2] +.sym 77625 $0\memadr[10:0][1] +.sym 77626 $0\memadr[10:0][6] +.sym 77629 $0\memadr[10:0][3] +.sym 77630 $PACKER_GND_NET +.sym 77631 $0\memadr[10:0][0] +.sym 77632 $0\memadr[10:0][7] +.sym 77634 $0\memadr[10:0][2] +.sym 77640 $0\memadr[10:0][2] +.sym 77642 $0\memadr[10:0][8] +.sym 77643 $0\memadr[10:0][7] +.sym 77645 $0\memadr[10:0][9] +.sym 77646 $0\memadr[10:0][10] +.sym 77647 $0\memadr[10:0][6] +.sym 77649 $0\memadr[10:0][4] +.sym 77650 $PACKER_VCC_NET +.sym 77652 $PACKER_VCC_NET +.sym 77654 $0\memadr[10:0][3] +.sym 77656 $0\memadr[10:0][0] +.sym 77657 $0\memadr[10:0][1] +.sym 77670 $0\memadr[10:0][5] +.sym 77687 $0\memadr[10:0][0] +.sym 77688 $0\memadr[10:0][1] +.sym 77689 $0\memadr[10:0][10] +.sym 77690 $0\memadr[10:0][2] +.sym 77691 $0\memadr[10:0][3] +.sym 77692 $0\memadr[10:0][4] +.sym 77693 $0\memadr[10:0][5] +.sym 77694 $0\memadr[10:0][6] +.sym 77695 $0\memadr[10:0][7] +.sym 77696 $0\memadr[10:0][8] +.sym 77697 $0\memadr[10:0][9] +.sym 77698 clk +.sym 77699 $PACKER_VCC_NET +.sym 77700 $PACKER_VCC_NET +.sym 77725 $0\memadr[10:0][8] +.sym 77729 $0\memadr[10:0][8] +.sym 77733 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 77736 $0\memadr[10:0][9] +.sym 77754 $PACKER_VCC_NET +.sym 77768 $PACKER_GND_NET +.sym 77800 $PACKER_GND_NET_$glb_clk +.sym 77801 $PACKER_GND_NET +.sym 77810 $PACKER_VCC_NET +.sym 77825 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 77829 $PACKER_GND_NET +.sym 77845 $PACKER_VCC_NET +.sym 77846 $0\memadr[10:0][10] +.sym 77851 $0\memadr[10:0][2] +.sym 77853 $0\memadr[10:0][6] +.sym 77854 $0\memadr[10:0][1] +.sym 77858 $0\memadr[10:0][3] +.sym 77859 $0\memadr[10:0][7] +.sym 77860 $0\memadr[10:0][0] +.sym 77861 $0\memadr[10:0][5] +.sym 77867 $0\memadr[10:0][8] +.sym 77869 $0\memadr[10:0][4] +.sym 77872 $PACKER_VCC_NET +.sym 77874 $0\memadr[10:0][9] +.sym 77891 $0\memadr[10:0][0] +.sym 77892 $0\memadr[10:0][1] +.sym 77893 $0\memadr[10:0][10] +.sym 77894 $0\memadr[10:0][2] +.sym 77895 $0\memadr[10:0][3] +.sym 77896 $0\memadr[10:0][4] +.sym 77897 $0\memadr[10:0][5] +.sym 77898 $0\memadr[10:0][6] +.sym 77899 $0\memadr[10:0][7] +.sym 77900 $0\memadr[10:0][8] +.sym 77901 $0\memadr[10:0][9] +.sym 77902 clk +.sym 77903 $PACKER_VCC_NET +.sym 77904 $PACKER_VCC_NET +.sym 77921 $PACKER_VCC_NET +.sym 77936 $PACKER_VCC_NET +.sym 77937 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 77972 $PACKER_GND_NET +.sym 77974 $PACKER_VCC_NET +.sym 78004 $PACKER_GND_NET_$glb_clk +.sym 78005 $PACKER_GND_NET +.sym 78014 $PACKER_VCC_NET +.sym 78031 $0\memadr[10:0][2] +.sym 78032 $0\memadr[10:0][2] +.sym 78033 $0\memadr[10:0][3] +.sym 78034 $0\memadr[10:0][6] +.sym 78036 $0\memadr[10:0][0] +.sym 78037 $0\memadr[10:0][1] +.sym 78038 $PACKER_GND_NET +.sym 78039 $0\memadr[10:0][0] +.sym 78040 $0\memadr[10:0][7] +.sym 78047 $0\memadr[10:0][9] +.sym 78048 $0\memadr[10:0][2] +.sym 78051 $0\memadr[10:0][7] +.sym 78053 $0\memadr[10:0][5] +.sym 78054 $0\memadr[10:0][10] +.sym 78057 $0\memadr[10:0][4] +.sym 78058 $0\memadr[10:0][3] +.sym 78059 $0\memadr[10:0][8] +.sym 78060 $PACKER_VCC_NET +.sym 78061 $0\memadr[10:0][6] +.sym 78062 $0\memadr[10:0][1] +.sym 78064 $0\memadr[10:0][0] +.sym 78074 $PACKER_VCC_NET +.sym 78095 $0\memadr[10:0][0] +.sym 78096 $0\memadr[10:0][1] +.sym 78097 $0\memadr[10:0][10] +.sym 78098 $0\memadr[10:0][2] +.sym 78099 $0\memadr[10:0][3] +.sym 78100 $0\memadr[10:0][4] +.sym 78101 $0\memadr[10:0][5] +.sym 78102 $0\memadr[10:0][6] +.sym 78103 $0\memadr[10:0][7] +.sym 78104 $0\memadr[10:0][8] +.sym 78105 $0\memadr[10:0][9] +.sym 78106 clk +.sym 78107 $PACKER_VCC_NET +.sym 78108 $PACKER_VCC_NET +.sym 78140 $0\memadr[10:0][9] +.sym 78141 $0\memadr[10:0][8] +.sym 78142 $PACKER_VCC_NET +.sym 78162 $PACKER_VCC_NET +.sym 78176 $PACKER_GND_NET +.sym 78208 $PACKER_GND_NET_$glb_clk +.sym 78209 $PACKER_GND_NET +.sym 78218 $PACKER_VCC_NET +.sym 78242 $PACKER_GND_NET +.sym 78253 $PACKER_VCC_NET +.sym 78254 $0\memadr[10:0][10] +.sym 78259 $0\memadr[10:0][2] +.sym 78261 $0\memadr[10:0][6] +.sym 78262 $0\memadr[10:0][3] +.sym 78263 $0\memadr[10:0][0] +.sym 78266 $0\memadr[10:0][1] +.sym 78267 $0\memadr[10:0][7] +.sym 78275 $0\memadr[10:0][4] +.sym 78278 $0\memadr[10:0][9] +.sym 78279 $0\memadr[10:0][8] +.sym 78280 $PACKER_VCC_NET +.sym 78282 $0\memadr[10:0][5] +.sym 78299 $0\memadr[10:0][0] +.sym 78300 $0\memadr[10:0][1] +.sym 78301 $0\memadr[10:0][10] +.sym 78302 $0\memadr[10:0][2] +.sym 78303 $0\memadr[10:0][3] +.sym 78304 $0\memadr[10:0][4] +.sym 78305 $0\memadr[10:0][5] +.sym 78306 $0\memadr[10:0][6] +.sym 78307 $0\memadr[10:0][7] +.sym 78308 $0\memadr[10:0][8] +.sym 78309 $0\memadr[10:0][9] +.sym 78310 clk +.sym 78311 $PACKER_VCC_NET +.sym 78312 $PACKER_VCC_NET +.sym 78380 $PACKER_GND_NET +.sym 78382 $PACKER_VCC_NET +.sym 78412 $PACKER_GND_NET_$glb_clk +.sym 78413 $PACKER_GND_NET +.sym 78422 $PACKER_VCC_NET .sym 78868 $PACKER_GND_NET -.sym 78883 $PACKER_GND_NET -.sym 81571 $PACKER_GND_NET +.sym 78886 $PACKER_GND_NET +.sym 80985 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 80993 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 81001 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 81030 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 81031 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 81033 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 81219 $abc$408$n42 +.sym 81220 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 81223 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 81226 $abc$408$n41_1 +.sym 81231 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 81234 $abc$408$n43_1 +.sym 81239 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] +.sym 81264 $abc$408$n43_1 +.sym 81265 $abc$408$n41_1 +.sym 81266 $abc$408$n42 +.sym 81294 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 81295 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 81296 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 81297 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] .sym 107743 $0\memadr[10:0][0] -.sym 107763 memadr[1] -.sym 107764 memadr[0] -.sym 107803 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] -.sym 107804 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] -.sym 107805 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] -.sym 107806 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] -.sym 107815 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] -.sym 107816 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] -.sym 107817 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] -.sym 107818 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] -.sym 107867 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] -.sym 107868 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] -.sym 107869 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] -.sym 107870 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] -.sym 107871 $abc$358$n45_1 -.sym 107872 $abc$358$n46 -.sym 107873 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] -.sym 107887 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] -.sym 107888 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] -.sym 107889 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] -.sym 107890 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] -.sym 107891 $abc$358$n40 -.sym 107892 $abc$358$n44 -.sym 107893 $abc$358$n47_1 -.sym 107894 $abc$358$n49_1 -.sym 108696 $PACKER_VCC_NET -.sym 108697 memadr[0] -.sym 108715 $0\memadr[10:0][1] -.sym 108775 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] -.sym 108776 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] -.sym 108777 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] -.sym 108787 $abc$358$n50 -.sym 108788 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] -.sym 108789 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] -.sym 108835 $abc$358$n41_1 -.sym 108836 $abc$358$n42 -.sym 108837 $abc$358$n43_1 -.sym 108843 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] -.sym 108844 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] -.sym 108845 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] -.sym 108846 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] -.sym 108851 $abc$358$n48 -.sym 108852 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] -.sym 108853 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] -.sym 108854 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] -.sym 108907 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] -.sym 108908 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] -.sym 108909 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] -.sym 110615 $0\memadr[10:0][2] -.sym 110635 $0\memadr[10:0][6] -.sym 110643 $0\memadr[10:0][3] -.sym 111576 memadr[0] -.sym 111581 memadr[1] -.sym 111585 memadr[2] -.sym 111586 $auto$alumacc.cc:474:replace_alu$167.C[2] -.sym 111589 memadr[3] -.sym 111590 $auto$alumacc.cc:474:replace_alu$167.C[3] -.sym 111593 memadr[4] -.sym 111594 $auto$alumacc.cc:474:replace_alu$167.C[4] -.sym 111597 memadr[5] -.sym 111598 $auto$alumacc.cc:474:replace_alu$167.C[5] -.sym 111601 memadr[6] -.sym 111602 $auto$alumacc.cc:474:replace_alu$167.C[6] -.sym 111605 memadr[7] -.sym 111606 $auto$alumacc.cc:474:replace_alu$167.C[7] -.sym 111609 memadr[8] -.sym 111610 $auto$alumacc.cc:474:replace_alu$167.C[8] -.sym 111611 $0\memadr[10:0][7] -.sym 111619 $0\memadr[10:0][8] -.sym 111623 $0\memadr[10:0][4] -.sym 111631 $0\memadr[10:0][5] +.sym 108707 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[6] +.sym 108708 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[8] +.sym 108709 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[12] +.sym 108710 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[28] +.sym 108779 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[9] +.sym 108780 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[13] +.sym 108781 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[21] +.sym 108782 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[22] +.sym 108895 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[4] +.sym 108896 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[5] +.sym 108897 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[16] +.sym 108898 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[20] +.sym 109672 $PACKER_VCC_NET +.sym 109673 memadr[0] +.sym 113495 $0\memadr[10:0][5] +.sym 113499 memadr[1] +.sym 113500 memadr[0] +.sym 113519 $0\memadr[10:0][1] +.sym 114423 $0\memadr[10:0][4] +.sym 114443 $0\memadr[10:0][7] +.sym 114456 memadr[0] +.sym 114461 memadr[1] +.sym 114465 memadr[2] +.sym 114466 $auto$alumacc.cc:474:replace_alu$167.C[2] +.sym 114469 memadr[3] +.sym 114470 $auto$alumacc.cc:474:replace_alu$167.C[3] +.sym 114473 memadr[4] +.sym 114474 $auto$alumacc.cc:474:replace_alu$167.C[4] +.sym 114477 memadr[5] +.sym 114478 $auto$alumacc.cc:474:replace_alu$167.C[5] +.sym 114481 memadr[6] +.sym 114482 $auto$alumacc.cc:474:replace_alu$167.C[6] +.sym 114485 memadr[7] +.sym 114486 $auto$alumacc.cc:474:replace_alu$167.C[7] +.sym 114489 memadr[8] +.sym 114490 $auto$alumacc.cc:474:replace_alu$167.C[8] +.sym 114493 memadr[9] +.sym 114494 $auto$alumacc.cc:474:replace_alu$167.C[9] +.sym 114497 memadr[10] +.sym 114498 $auto$alumacc.cc:474:replace_alu$167.C[10] +.sym 114499 $0\memadr[10:0][8] +.sym 114507 $0\memadr[10:0][9] +.sym 114511 $0\memadr[10:0][10] +.sym 114515 $0\memadr[10:0][3] +.sym 115423 $0\memadr[10:0][6] +.sym 115431 $0\memadr[10:0][2] +.sym 115495 $abc$408$n45_1 +.sym 115496 $abc$408$n46 +.sym 115497 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[24] +.sym 119147 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[1] +.sym 119148 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[19] +.sym 119149 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[23] +.sym 119150 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[29] +.sym 119195 $abc$408$n48 +.sym 119196 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[0] +.sym 119197 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[7] +.sym 119198 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[11] +.sym 119319 $abc$408$n40 +.sym 119320 $abc$408$n44 +.sym 119321 $abc$408$n47_1 +.sym 119322 $abc$408$n49_1 +.sym 119459 $abc$408$n50 +.sym 119460 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[26] +.sym 119461 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[31] +.sym 119467 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[15] +.sym 119468 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[17] +.sym 119469 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[27] +.sym 120231 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[2] +.sym 120232 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[3] +.sym 120233 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[10] +.sym 120287 $abc$408$n41_1 +.sym 120288 $abc$408$n42 +.sym 120289 $abc$408$n43_1 +.sym 120307 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[14] +.sym 120308 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[18] +.sym 120309 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[25] +.sym 120310 $0$mem2bits$\mem$memtest.v:32$1[31:0]$35[30] diff --git a/memtest/.build/memtest.json b/memtest/.build/memtest.json index 73f7a4c..65ca745 100644 --- a/memtest/.build/memtest.json +++ b/memtest/.build/memtest.json @@ -5,7 +5,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:667" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:667" }, "ports": { "I0": { @@ -60,77 +60,77 @@ "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:669" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:668" } } } @@ -138,7 +138,7 @@ "SB_CARRY": { "attributes": { "blackbox": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" }, "ports": { "CO": { @@ -165,28 +165,28 @@ "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:129" } } } @@ -194,7 +194,7 @@ "SB_DFF": { "attributes": { "blackbox": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" }, "ports": { "Q": { @@ -217,21 +217,21 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:135" } } } @@ -240,7 +240,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" }, "ports": { "Q": { @@ -267,28 +267,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:140" } } } @@ -297,7 +297,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" }, "ports": { "Q": { @@ -328,35 +328,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:188" } } } @@ -365,7 +365,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" }, "ports": { "Q": { @@ -396,35 +396,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:206" } } } @@ -433,7 +433,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" }, "ports": { "Q": { @@ -464,35 +464,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:178" } } } @@ -501,7 +501,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" }, "ports": { "Q": { @@ -532,35 +532,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:196" } } } @@ -569,7 +569,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" }, "ports": { "Q": { @@ -592,21 +592,21 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:216" } } } @@ -615,7 +615,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" }, "ports": { "Q": { @@ -642,28 +642,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:221" } } } @@ -672,7 +672,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" }, "ports": { "Q": { @@ -703,35 +703,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:269" } } } @@ -740,7 +740,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" }, "ports": { "Q": { @@ -771,35 +771,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:287" } } } @@ -808,7 +808,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" }, "ports": { "Q": { @@ -839,35 +839,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:259" } } } @@ -876,7 +876,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" }, "ports": { "Q": { @@ -907,35 +907,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:277" } } } @@ -944,7 +944,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" }, "ports": { "Q": { @@ -971,28 +971,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:235" } } } @@ -1001,7 +1001,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" }, "ports": { "Q": { @@ -1028,28 +1028,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:251" } } } @@ -1058,7 +1058,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" }, "ports": { "Q": { @@ -1085,28 +1085,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:227" } } } @@ -1115,7 +1115,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" }, "ports": { "Q": { @@ -1142,28 +1142,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:243" } } } @@ -1172,7 +1172,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" }, "ports": { "Q": { @@ -1199,28 +1199,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:154" } } } @@ -1229,7 +1229,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" }, "ports": { "Q": { @@ -1256,28 +1256,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:170" } } } @@ -1286,7 +1286,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" }, "ports": { "Q": { @@ -1313,28 +1313,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:146" } } } @@ -1343,7 +1343,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" }, "ports": { "Q": { @@ -1370,28 +1370,28 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:162" } } } @@ -1400,7 +1400,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1138" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1138" }, "ports": { "FILTERIN": { @@ -1419,14 +1419,14 @@ "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1139" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1139" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1140" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1140" } } } @@ -1434,7 +1434,7 @@ "SB_GB": { "attributes": { "blackbox": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:112" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:112" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { @@ -1453,14 +1453,14 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:114" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:114" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:113" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:113" } } } @@ -1469,7 +1469,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:73" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:73" }, "ports": { "PACKAGE_PIN": { @@ -1524,77 +1524,77 @@ "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:77" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:77" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:83" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:83" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:84" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:84" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:81" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:81" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:82" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:82" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:75" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:75" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:78" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:78" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:76" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:76" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:79" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:79" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:80" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:80" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:74" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:74" } } } @@ -1603,7 +1603,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:981" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:981" }, "ports": { "CLKHFPU": { @@ -1626,21 +1626,21 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:984" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:984" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:983" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:983" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:982" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:982" } } } @@ -1649,7 +1649,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1015" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1015" }, "ports": { "SBCLKI": { @@ -1804,252 +1804,252 @@ "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1046" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1046" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1047" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1047" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1045" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1045" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1026" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1026" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1025" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1025" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1024" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1024" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1023" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1023" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1022" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1022" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1021" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1021" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1020" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1020" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1019" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1019" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1016" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1016" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1034" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1034" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1033" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1033" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1032" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1032" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1031" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1031" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1030" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1030" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1029" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1029" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1028" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1028" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1027" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1027" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1044" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1044" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1043" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1043" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1042" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1042" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1041" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1041" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1040" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1040" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1039" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1039" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1038" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1038" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1037" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1037" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1017" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1017" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1018" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1018" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1035" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1035" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1048" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1048" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1049" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1049" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1036" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1036" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1050" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1050" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1051" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1051" } } } @@ -2058,7 +2058,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:7" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:7" }, "ports": { "PACKAGE_PIN": { @@ -2109,70 +2109,70 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:10" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:10" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:16" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:16" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:17" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:17" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:14" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:14" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:15" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:15" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:11" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:11" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:9" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:9" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:12" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:12" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:13" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:13" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:8" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:8" } } } @@ -2181,7 +2181,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1144" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1144" }, "ports": { "PACKAGE_PIN": { @@ -2240,84 +2240,84 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1147" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1147" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1153" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1153" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1154" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1154" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1151" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1151" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1152" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1152" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1148" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1148" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1146" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1146" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1149" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1149" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1150" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1150" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1145" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1145" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1155" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1155" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1156" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1156" } } } @@ -2326,7 +2326,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1213" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1213" }, "ports": { "PACKAGEPIN": { @@ -2377,70 +2377,70 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1216" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1216" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1223" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1223" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1222" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1222" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1221" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1221" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1220" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1220" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1217" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1217" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1215" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1215" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1218" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1218" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1219" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1219" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1214" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1214" } } } @@ -2449,7 +2449,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1112" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1112" }, "ports": { "LEDDCS": { @@ -2544,147 +2544,147 @@ "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1126" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1126" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1125" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1125" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1124" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1124" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1123" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1123" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1114" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1114" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1113" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1113" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1122" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1122" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1121" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1121" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1120" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1120" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1119" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1119" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1118" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1118" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1117" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1117" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1116" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1116" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1115" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1115" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1127" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1127" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1128" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1128" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1133" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1133" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1129" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1129" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1130" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1130" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1131" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1131" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1132" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1132" } } } @@ -2693,7 +2693,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:990" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:990" }, "ports": { "CLKLFPU": { @@ -2716,21 +2716,21 @@ "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:993" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:993" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:992" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:992" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:991" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:991" } } } @@ -2738,7 +2738,7 @@ "SB_LUT4": { "attributes": { "blackbox": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" }, "ports": { "O": { @@ -2769,35 +2769,35 @@ "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:121" } } } @@ -2806,7 +2806,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:891" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:891" }, "ports": { "CLK": { @@ -2925,189 +2925,189 @@ "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:895" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:895" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:913" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:913" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:917" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:917" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:909" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:909" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:908" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:908" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:898" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:898" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:896" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:896" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:899" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:899" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:894" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:894" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:893" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:893" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:900" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:900" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:912" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:912" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:892" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:892" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:916" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:916" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:897" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:897" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:901" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:901" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:903" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:903" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:902" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:902" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:915" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:915" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:911" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:911" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:910" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:910" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:907" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:907" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:906" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:906" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:905" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:905" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:904" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:904" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:914" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:914" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:918" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:918" } } } @@ -3116,7 +3116,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:810" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:810" }, "ports": { "REFERENCECLK": { @@ -3183,98 +3183,98 @@ "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:819" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:819" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:817" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:817" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:816" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:816" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:821" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:821" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:818" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:818" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:812" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:812" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:814" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:814" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:813" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:813" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:815" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:815" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:811" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:811" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:820" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:820" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:824" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:824" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:823" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:823" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:822" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:822" } } } @@ -3283,7 +3283,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:845" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:845" }, "ports": { "PACKAGEPIN": { @@ -3350,98 +3350,98 @@ "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:854" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:854" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:852" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:852" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:851" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:851" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:856" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:856" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:853" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:853" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:846" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:846" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:847" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:847" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:849" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:849" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:848" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:848" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:850" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:850" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:855" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:855" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:859" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:859" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:858" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:858" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:857" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:857" } } } @@ -3450,7 +3450,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:776" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:776" }, "ports": { "PACKAGEPIN": { @@ -3517,98 +3517,98 @@ "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:785" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:785" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:783" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:783" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:782" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:782" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:787" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:787" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:784" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:784" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:777" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:777" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:778" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:778" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:780" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:780" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:779" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:779" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:781" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:781" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:786" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:786" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:790" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:790" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:789" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:789" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:788" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:788" } } } @@ -3617,7 +3617,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:714" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:714" }, "ports": { "REFERENCECLK": { @@ -3676,84 +3676,84 @@ "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:721" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:721" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:719" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:719" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:718" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:718" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:723" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:723" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:720" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:720" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:716" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:716" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:717" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:717" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:715" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:715" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:722" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:722" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:726" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:726" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:725" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:725" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:724" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:724" } } } @@ -3762,7 +3762,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:745" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:745" }, "ports": { "PACKAGEPIN": { @@ -3821,84 +3821,84 @@ "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:752" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:752" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:750" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:750" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:749" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:749" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:754" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:754" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:751" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:751" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:746" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:746" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:747" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:747" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:748" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:748" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:753" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:753" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:757" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:757" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:756" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:756" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:755" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:755" } } } @@ -3906,7 +3906,7 @@ "SB_RAM40_4K": { "attributes": { "blackbox": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:297" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:297" }, "ports": { "RDATA": { @@ -3961,77 +3961,77 @@ "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:300" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:300" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:298" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:298" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:302" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:302" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:303" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:301" } } } @@ -4040,7 +4040,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:479" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:479" }, "ports": { "RDATA": { @@ -4095,77 +4095,77 @@ "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:482" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:482" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:480" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:480" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:481" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:484" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:484" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:485" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:483" } } } @@ -4174,7 +4174,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:603" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:603" }, "ports": { "RDATA": { @@ -4229,77 +4229,77 @@ "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:606" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:606" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:604" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:604" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:605" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:608" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:608" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:609" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:607" } } } @@ -4308,7 +4308,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:541" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:541" }, "ports": { "RDATA": { @@ -4363,77 +4363,77 @@ "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:544" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:544" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:542" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:542" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:543" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:546" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:546" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:547" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:545" } } } @@ -4442,7 +4442,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:998" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:998" }, "ports": { "CURREN": { @@ -4485,56 +4485,56 @@ "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:999" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:999" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1004" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1004" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1001" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1001" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1005" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1005" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1002" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1002" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1006" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1006" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1003" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1003" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1000" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1000" } } } @@ -4543,7 +4543,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1058" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1058" }, "ports": { "SBCLKI": { @@ -4746,336 +4746,336 @@ "hide_name": 0, "bits": [ 45 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1102" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1102" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1101" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1101" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1100" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1100" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1099" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1099" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1106" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1106" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1105" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1105" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1104" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1104" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1103" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1103" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1078" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1078" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1095" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1095" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1096" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1096" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1090" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1090" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1069" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1069" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1068" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1068" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1067" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1067" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1066" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1066" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1065" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1065" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1064" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1064" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1063" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1063" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1062" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1062" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1059" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1059" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1077" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1077" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1076" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1076" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1075" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1075" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1074" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1074" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1073" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1073" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1072" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1072" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1071" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1071" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1070" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1070" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1089" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1089" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1088" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1088" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1087" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1087" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1086" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1086" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1085" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1085" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1084" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1084" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1083" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1083" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1082" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1082" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1060" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1060" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1061" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1061" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1080" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1080" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1097" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1097" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1098" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1098" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1081" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1081" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1079" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1079" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1093" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1093" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1094" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1094" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1091" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1091" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1092" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:1092" } } } @@ -5084,7 +5084,7 @@ "attributes": { "blackbox": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:942" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:942" }, "ports": { "ADDRESS": { @@ -5135,70 +5135,70 @@ "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:943" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:943" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:944" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:944" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:947" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:947" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:945" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:945" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:946" } } } @@ -5208,7 +5208,7 @@ "blackbox": 1, "keep": 1, "cells_not_processed": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:882" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:882" }, "ports": { "BOOT": { @@ -5231,21 +5231,21 @@ "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:883" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:883" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:885" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:885" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_sim.v:884" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_sim.v:884" } } } @@ -5298,7 +5298,7 @@ } }, "cells": { - "$abc$358$auto$blifparse.cc:492:parse_blif$359": { + "$abc$408$auto$blifparse.cc:492:parse_blif$409": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5306,7 +5306,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:44" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", @@ -5323,7 +5323,7 @@ "O": [ 8 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$360": { + "$abc$408$auto$blifparse.cc:492:parse_blif$410": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5331,7 +5331,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5348,7 +5348,7 @@ "O": [ 13 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$361": { + "$abc$408$auto$blifparse.cc:492:parse_blif$411": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5356,7 +5356,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5373,7 +5373,7 @@ "O": [ 9 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$362": { + "$abc$408$auto$blifparse.cc:492:parse_blif$412": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5381,7 +5381,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5398,7 +5398,7 @@ "O": [ 14 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$363": { + "$abc$408$auto$blifparse.cc:492:parse_blif$413": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5406,7 +5406,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5423,7 +5423,7 @@ "O": [ 15 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$364": { + "$abc$408$auto$blifparse.cc:492:parse_blif$414": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5431,7 +5431,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5448,7 +5448,7 @@ "O": [ 16 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$365": { + "$abc$408$auto$blifparse.cc:492:parse_blif$415": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5456,7 +5456,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5473,7 +5473,7 @@ "O": [ 10 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$366": { + "$abc$408$auto$blifparse.cc:492:parse_blif$416": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5481,7 +5481,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5498,7 +5498,7 @@ "O": [ 28 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$367": { + "$abc$408$auto$blifparse.cc:492:parse_blif$417": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5506,7 +5506,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5523,7 +5523,7 @@ "O": [ 29 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$368": { + "$abc$408$auto$blifparse.cc:492:parse_blif$418": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5531,7 +5531,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5548,7 +5548,7 @@ "O": [ 11 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$369": { + "$abc$408$auto$blifparse.cc:492:parse_blif$419": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5556,7 +5556,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", @@ -5573,7 +5573,7 @@ "O": [ 39 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$370": { + "$abc$408$auto$blifparse.cc:492:parse_blif$420": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5581,7 +5581,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5598,7 +5598,7 @@ "O": [ 12 ] } }, - "$abc$358$auto$blifparse.cc:492:parse_blif$371": { + "$abc$408$auto$blifparse.cc:492:parse_blif$421": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5606,7 +5606,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", @@ -5631,7 +5631,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5648,6 +5648,31 @@ "O": [ 53 ] } }, + "$auto$alumacc.cc:474:replace_alu$167.slice[10].adder": { + "hide_name": 1, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": 27030 + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 54 ], + "I3": [ 55 ], + "O": [ 56 ] + } + }, "$auto$alumacc.cc:474:replace_alu$167.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", @@ -5655,7 +5680,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5665,7 +5690,7 @@ }, "connections": { "CI": [ 7 ], - "CO": [ 54 ], + "CO": [ 57 ], "I0": [ "0" ], "I1": [ 6 ] } @@ -5678,54 +5703,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 55 ], - "I3": [ 54 ], - "O": [ 56 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$167.slice[2].carry": { - "hide_name": 1, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 54 ], - "CO": [ 57 ], - "I0": [ "0" ], - "I1": [ 55 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$167.slice[3].adder": { - "hide_name": 1, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": 27030 - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5742,14 +5720,14 @@ "O": [ 59 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[3].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5764,7 +5742,7 @@ "I1": [ 58 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[4].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5772,7 +5750,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5789,14 +5767,14 @@ "O": [ 62 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[4].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5811,7 +5789,7 @@ "I1": [ 61 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[5].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5819,7 +5797,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5836,14 +5814,14 @@ "O": [ 65 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[5].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5858,7 +5836,7 @@ "I1": [ 64 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[6].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5866,7 +5844,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5883,14 +5861,14 @@ "O": [ 68 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[6].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5905,7 +5883,7 @@ "I1": [ 67 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[7].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5913,7 +5891,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5930,14 +5908,14 @@ "O": [ 71 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[7].carry": { + "$auto$alumacc.cc:474:replace_alu$167.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", @@ -5952,7 +5930,7 @@ "I1": [ 70 ] } }, - "$auto$alumacc.cc:474:replace_alu$167.slice[8].adder": { + "$auto$alumacc.cc:474:replace_alu$167.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { @@ -5960,7 +5938,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", @@ -5977,14 +5955,130 @@ "O": [ 74 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$271": { + "$auto$alumacc.cc:474:replace_alu$167.slice[7].carry": { + "hide_name": 1, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 72 ], + "CO": [ 75 ], + "I0": [ "0" ], + "I1": [ 73 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$167.slice[8].adder": { + "hide_name": 1, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": 27030 + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 76 ], + "I3": [ 75 ], + "O": [ 77 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$167.slice[8].carry": { + "hide_name": 1, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 75 ], + "CO": [ 78 ], + "I0": [ "0" ], + "I1": [ 76 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$167.slice[9].adder": { + "hide_name": 1, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": 27030 + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:53" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 79 ], + "I3": [ 78 ], + "O": [ 80 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$167.slice[9].carry": { + "hide_name": 1, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:47" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 78 ], + "CO": [ 55 ], + "I0": [ "0" ], + "I1": [ 79 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$319": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -5992,19 +6086,19 @@ "Q": "output" }, "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 13 ], "Q": [ 2 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$272": { + "$auto$simplemap.cc:420:simplemap_dff$320": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6012,19 +6106,19 @@ "Q": "output" }, "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 53 ], "Q": [ 7 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$273": { + "$auto$simplemap.cc:420:simplemap_dff$321": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6032,19 +6126,19 @@ "Q": "output" }, "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 8 ], "Q": [ 6 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$274": { + "$auto$simplemap.cc:420:simplemap_dff$322": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6052,39 +6146,19 @@ "Q": "output" }, "connections": { - "C": [ 75 ], - "D": [ 56 ], - "Q": [ 55 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$275": { - "hide_name": 1, - "type": "SB_DFF", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" - }, - "port_directions": { - "C": "input", - "D": "input", - "Q": "output" - }, - "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 59 ], "Q": [ 58 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$276": { + "$auto$simplemap.cc:420:simplemap_dff$323": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6092,19 +6166,19 @@ "Q": "output" }, "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 62 ], "Q": [ 61 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$277": { + "$auto$simplemap.cc:420:simplemap_dff$324": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6112,19 +6186,19 @@ "Q": "output" }, "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 65 ], "Q": [ 64 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$278": { + "$auto$simplemap.cc:420:simplemap_dff$325": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6132,19 +6206,19 @@ "Q": "output" }, "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 68 ], "Q": [ 67 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$279": { + "$auto$simplemap.cc:420:simplemap_dff$326": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6152,19 +6226,19 @@ "Q": "output" }, "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 71 ], "Q": [ 70 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$280": { + "$auto$simplemap.cc:420:simplemap_dff$327": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "memtest.v:29|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", @@ -6172,11 +6246,71 @@ "Q": "output" }, "connections": { - "C": [ 75 ], + "C": [ 81 ], "D": [ 74 ], "Q": [ 73 ] } }, + "$auto$simplemap.cc:420:simplemap_dff$328": { + "hide_name": 1, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 81 ], + "D": [ 77 ], + "Q": [ 76 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$329": { + "hide_name": 1, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 81 ], + "D": [ 80 ], + "Q": [ 79 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$330": { + "hide_name": 1, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "memtest.v:29|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/cells_map.v:2" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 81 ], + "D": [ 56 ], + "Q": [ 54 ] + } + }, "clk_gb": { "hide_name": 0, "type": "SB_GB", @@ -6191,7 +6325,7 @@ "USER_SIGNAL_TO_GLOBAL_BUFFER": "input" }, "connections": { - "GLOBAL_BUFFER_OUTPUT": [ 75 ], + "GLOBAL_BUFFER_OUTPUT": [ 81 ], "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 5 ] } }, @@ -6199,28 +6333,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "1101110000010001001101000000010110101111010110110100001001111100010111001110010010011010000100111001010101100100001110001100101110111000100001000000101101011110000100101101100111101000111110000011001010001010010000101100011100001100110010101010101101011111", - "INIT_1": "1011111110001010000111100110000000001000010010001100111100001110001001110100110111111011111010101100001001100000011100011100000001110000111111111011111000000111010110001111111100010001110000111000011000100001111111011000011110001011011100110100010101101001", - "INIT_2": "0111000001110101101001111010011110011100110011001001011011101110110000100101110000011000100010000001100110110111100000111001100101011101101101010011101110110110100101001111011000100110001101010010010011111101111110011111101000000001010111111100001111111000", - "INIT_3": "1111001110000110101011010100110101110000000000010011101101000110100110001001110111001110110000110011000010010110110011110101111101101101001000011010110111111110000010001100101000011110110101000010101010101100010111000010110001000111111010111000110001100000", - "INIT_4": "0011100110110110011001110000001010111011111110010001010011111101101111101001100101110011111100111011011100101000110011010111110010110001100100001001101010101100000010000100010000100011011111100000000110111110111001001110110010000101001011110101111001101000", - "INIT_5": "0110010100101111100001111110100011111001000101111010101010011001111001001001101010011110110111001100000110011101111000001111111011011001100011101110011011011000000011111010101100111010000010101100111010010011000101100100110010011110011000001101100100001110", - "INIT_6": "0101100011100010000001101001100000110011111011010101001000101100100101010010001010110010111011010010101101110001110101101111110000101000100101011000100011110111010111011110011001011111101101111011001011111001010000011111010110010100011000001000010010110101", - "INIT_7": "0110001001101111100101011100001100001000110010101101011100011110001100000110011111111011011011001001010010111101111110011110010011010111111110001111101001110111111101000111011101000111010011010010001001101001010010101011000010101001011010100001011011000111", - "INIT_8": "0010000101011101001000101110110101111101000000110100111111110000011100110100101011001101001100000101110100101111100001110110010010010100101110101001011100001100101100110110010011001100001101110011100101110011110110000010001110001001101011101010101110100101", - "INIT_9": "1010100110101111101110010011111101001001110101110100001101100101111101010110111011000001001001100000100111000101111100011101001111011010000111011110110001111010000011101100101101101011110100000110110111101011000011111011011111101000100110001001011111001011", - "INIT_A": "0111101110010010100001001111010110000001100000001010101101010111111110010011110001000001001101110010111010011011110110010100000010110011010010010110100111100110101111011011111011100111100001010110100100110111001110000011001001100101000100101101000110010110", - "INIT_B": "0000111011010101011010001011010110010001100010000111100000101100011001100100010110011000000001110000110111100001100111001000101011100011101101101100101000001101100101000010011110000011000011110000001011100100110100101001001000000100010101010100001000001110", - "INIT_C": "1010111111100110110011010100010011100110101100100001010010101101101110111000000000101010110101001100011010001100010110010000111110111000010010110011110011110100001110000110110001001100001111000110011000010011001000010110110010011001101100100110101111010101", - "INIT_D": "0011001100101011011100110100010010100111001011000110010000110000101100000101111001100111110000101001010111101011110000100001000010000110000100001010101110111111101001111110110000001000110011100101010100010011000001000011101110111000010110011010011010111111", - "INIT_E": "0100001000110100000000011001000011111000111011001101100010100111110000111011000101010110111110011010011001000100101010001010010001010011011100011000100111011000100110000000010111000101100010000110001000010110111000001011001010111111011111101001110001110011", - "INIT_F": "0000101011000111111101011000100001111110101000111110000110010101010110011100011111000110010011011100101111010000110011000011010010110000110110100100110011001010011111011001101010001001000010110110010011011011100101100101111110010101111111001011001011010100", - "READ_MODE": 1, - "WRITE_MODE": 1 + "INIT_0": "1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111", + "INIT_1": "0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001", + "INIT_2": "0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000", + "INIT_3": "0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000", + "INIT_4": "0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000", + "INIT_5": "0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010", + "INIT_6": "0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001", + "INIT_7": "0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011", + "INIT_8": "1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001", + "INIT_9": "1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011", + "INIT_A": "1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010", + "INIT_B": "1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010", + "INIT_C": "1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101", + "INIT_D": "0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111", + "INIT_E": "1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111", + "INIT_F": "1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100", + "READ_MODE": 3, + "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6237,15 +6371,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ], - "RCLK": [ 75 ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], "RCLKE": [ "1" ], - "RDATA": [ 40, 76, 43, 77, 21, 78, 22, 79, 35, 80, 36, 81, 17, 82, 41, 83 ], + "RDATA": [ 82, 83, 84, 40, 85, 86, 87, 88, 89, 90, 91, 43, 92, 93, 94, 95 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], - "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], "WE": [ "1" ] } }, @@ -6253,28 +6387,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "1100001001001000011000110111111010111101110001100000010100101100101101011000100101110111101011011100100000001010001110111001110011101010001001000100100110110111100001000010011100110001100000011001001000001001000010011011000000001000100111001101001011111001", - "INIT_1": "0000000100101011100000010111111101010011111100001110111010111001101010000011101110110111101110100101100000111010100111001011111101110101100011111011010000010100111101101100011000010111110110001100110111001111000110000000001010001101001000001001110111111100", - "INIT_2": "1001110001011011011011010111100010011001101001100101010100111100011111110111001101100010010000100000111101010001111111011011011100111001111111010111010111000111100011001110110111000010010111110110110001000011010110011111101000110001001000110100011111101100", - "INIT_3": "0001100101000011010111101110010100101001000010100100111110010101010110111011010011111011001100000101010110101001010000111110010000011011011100000011010011101000001101100101111000000101111110101011010110001010100110001000011101010100010101100011001111011000", - "INIT_4": "1011110110001001101111101010101010000101101010100100111010111000110000101000101000100110010111100010101111111101100001110110111101000101010111101111100111111100000101000000001001110010111110010111010001000110000110010110000010001000111001010011111011010100", - "INIT_5": "1001110110111101111001100000000111100111101100000011000011111100000111001001110100001011001000111111110111011001100101001100111100010111110000111111101110000000111011100101101110000101101011111100011011101110110111101111011001101100011101100011011101011001", - "INIT_6": "0000011110110101011111011011101000101010111001000010011011000010110101100011111000010111010010101011100111010101101001110101110011111111011111111101000100111110000000000111011111100001010001110101001100110110000100010000100111110000101100101101000110111010", - "INIT_7": "0111100111100100110111101001010100110000000010101001110100110101001010100001000010010000100101100111000010100101111110000110001110011001101111000001100011111110001100100000110100100011110110001001001111011101010010111001011110110100100110000001001101100110", - "INIT_8": "0011110011001001010000010000010001001110011101110101111100110101001101110001001101001001111110001010001001000011001101101100100100111000111010110111110001110001000011000000001101111100001001111101101011100010010000101100100000100100101110000111000000100011", - "INIT_9": "0111110001010001101111110100111001010111111010010111010010100001000011000001000011100100101001000111001000100010001111111111101111011000110001011110000010010000000000000010001101011111011010001000011111100111101010111101000001010001001110011101000010010011", - "INIT_A": "1001100011110100001110111111100011011110001110110101100100101000011001011100110010011001110100110111110101111110110110100110001101100100010100111110001010100111111100100011110011111010110001111100110001011111001111010100101010111011001010001011100000101101", - "INIT_B": "1001100011100000011111110101100110000000001111101011101100010000111010000011110111010011001111100101001001010001010111001010100001001101101010100010001100011001001011100010000011100010100101000010011110000101100010000001100110111010110000011011111010111111", - "INIT_C": "0100100101100111001101000110000110011011100001111010000000001101010011010000101111000011010111011011010101111001011001111101000010010011101110101010110110010110100111111100011101010011111010001000001100001110011101011100010111010011110001101001001110111110", - "INIT_D": "1000111000110011001001111001111111110110010000110101000101011000110010101111110001111010101111010111100000011010000101101000101000010101110010111011001000101011101101100110011111010011111001011111011010011100000101011101100100110001001000001111100010110101", - "INIT_E": "1001011101110011100001011100011110000110110110100011011100110100110010001111101010101011010010101010011000110001010101110011000111000100010111011110100100001100110000011001010001000001110011100101100101100001101000010001111101001000111100000010110111001010", - "INIT_F": "1100100101011111001010000010111000001010010010100010101100100111110011111000001111100111111000100000111111111101010110001001001110111001000100111110001001101110011101010101001011011001110111111010111000011011100011110001000001111101001111001000110111110011", - "READ_MODE": 1, - "WRITE_MODE": 1 + "INIT_0": "1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001", + "INIT_1": "0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010", + "INIT_2": "1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111", + "INIT_3": "1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010", + "INIT_4": "1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110", + "INIT_5": "0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100", + "INIT_6": "0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111", + "INIT_7": "1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100", + "INIT_8": "0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110", + "INIT_9": "1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000", + "INIT_A": "0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001", + "INIT_B": "1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000", + "INIT_C": "1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101", + "INIT_D": "1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011", + "INIT_E": "1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011", + "INIT_F": "1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101", + "READ_MODE": 3, + "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6291,15 +6425,339 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ], - "RCLK": [ 75 ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], "RCLKE": [ "1" ], - "RDATA": [ 18, 84, 31, 85, 23, 86, 42, 87, 19, 88, 32, 89, 24, 90, 50, 91 ], + "RDATA": [ 96, 97, 98, 21, 99, 100, 101, 102, 103, 104, 105, 22, 106, 107, 108, 109 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], - "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.10.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": {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}, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 110, 111, 112, 38, 113, 114, 115, 116, 117, 118, 119, 33, 120, 121, 122, 123 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.11.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011", + "INIT_1": "1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011", + "INIT_2": "1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101", + "INIT_3": "0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111", + "INIT_4": "1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111", + "INIT_5": "0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110", + "INIT_6": "0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110", + "INIT_7": "0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101", + "INIT_8": "0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010", + "INIT_9": "1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101", + "INIT_A": "0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000", + "INIT_B": "1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000", + "INIT_C": "0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010", + "INIT_D": "1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001", + "INIT_E": "0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011", + "INIT_F": "1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 124, 125, 126, 34, 127, 128, 129, 130, 131, 132, 133, 45, 134, 135, 136, 137 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.12.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100", + "INIT_1": "1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110", + "INIT_2": "0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010", + "INIT_3": "1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100", + "INIT_4": "1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000", + "INIT_5": "0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000", + "INIT_6": "1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001", + "INIT_7": "1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101", + "INIT_8": "0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101", + "INIT_9": "0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110", + "INIT_A": "0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000", + "INIT_B": "1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001", + "INIT_C": "1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101", + "INIT_D": "0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100", + "INIT_E": "0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100", + "INIT_F": "0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 138, 139, 140, 30, 141, 142, 143, 144, 145, 146, 147, 26, 148, 149, 150, 151 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.13.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011", + "INIT_1": "1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011", + "INIT_2": "0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110", + "INIT_3": "0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101", + "INIT_4": "0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110", + "INIT_5": "0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111", + "INIT_6": "0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000", + "INIT_7": "1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000", + "INIT_8": "0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100", + "INIT_9": "1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110", + "INIT_A": "1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010", + "INIT_B": "0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001", + "INIT_C": "0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110", + "INIT_D": "1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011", + "INIT_E": "1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101", + "INIT_F": "0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 152, 153, 154, 48, 155, 156, 157, 158, 159, 160, 161, 52, 162, 163, 164, 165 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.14.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001", + "INIT_1": "0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010", + "INIT_2": "0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111", + "INIT_3": "0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000", + "INIT_4": "1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101", + "INIT_5": "1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100", + "INIT_6": "0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000", + "INIT_7": "1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110", + "INIT_8": "1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100", + "INIT_9": "1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100", + "INIT_A": "0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000", + "INIT_B": "0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110", + "INIT_C": "0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100", + "INIT_D": "0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011", + "INIT_E": "1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101", + "INIT_F": "1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 166, 167, 168, 20, 169, 170, 171, 172, 173, 174, 175, 46, 176, 177, 178, 179 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.15.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": {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}, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 180, 181, 182, 27, 183, 184, 185, 186, 187, 188, 189, 49, 190, 191, 192, 193 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], "WE": [ "1" ] } }, @@ -6307,28 +6765,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "0001010101010000111110010010001111000101101001000011000011000101110100111110000110100100010001011111111111101011000000010101110001000011101011011010110011000111110100111001010011010101101101011000110111010010001011110001111101001101011101010111011111111000", - "INIT_1": "1110010001100111110101010101000010010100000100101100100011100110000001101001000100001101110100100100111110100000101100111111010011000011110111100111110011111000100100000101001111100011110101010000001010000000001010100001110011100101011111010111110111011101", - "INIT_2": "0001111111100101111011000010010010001001000111111101001011010000010100001001001110110011000000001001001000111010011100110011010010010101001101101100010110100011101111111101000100111101010101011011011001000000100101011111101001100000000100111001111101100010", - "INIT_3": "0010111100110110100011101101100100100010101000001101111000000001000000101000110110010111001100010101000000010111011011011001100010101011001111001100101110101001111011001000000010100101110000000110111111101010111011111110001010110110101100101111111111001111", - "INIT_4": "0111000101010110111001101001111000111111110000000011110100110001000111110111100011010111111101000111000111000001111011011000011111111001001101110011110000001011110101111011000101011100001101100111000101010101001011101101101101000100101110011111111100110100", - "INIT_5": "0101010010011101011011010101000011111001010010110101010001010010111100100010110001101100110000011001111001010010111011111011111011100000010101101100100011100001010101100110000101000110110111010000110110111110101000100101010010000111111010000010011110111100", - "INIT_6": "0100100000010100111111010011001010001001011110000110010100111110110001011111110011111011000001100000000011001110010101000101111111010010010101111010010011011011110011011001001110001101000010000010011111000010111010011000010111100010110001111110010101010110", - "INIT_7": "1111011110010001000101011010010100010011010101110100011111101101100101110110011000111000101100011100010001100110100110000101000100111110011011000111011101010101101101010101001100110100000101000111000101111010000111100010110010000010000011100001110011111110", - "INIT_8": "0011000001001111001001110001101011101111000011000111101100100000000101111100011000100110101111001101101101001011110100110111000111110110110010111001111000110101001100100000110100010111001111000010001110110111100110101011000000000100110100011110010100100011", - "INIT_9": "1011000011100011110101110100010111101010110101010111011011000101110001000100100010010101111001000100010101011000111111101111111000101011001000111101110110011111111001010100111101010101011100100110111101001001101011011011111011111000100011110001111011010001", - "INIT_A": "0010110111111100000001000001110100010011011100101000000010100001101000110010100000011111100010000110011010101001100000100110100110011110010010010011010100101001100010111001010000100111001000110111110111001100000111001101010000001101000001110000101011000101", - "INIT_B": "0010101010001000110110110100000011000100100100111010111100110001100111001010111000001111000110011101110000101100010110001011111110110101100111111101000000000100010011011101100001000110111111100011110101100100010010011110001101110101001111011000010011110110", - "INIT_C": "0110101011001010100011101000110011111010011100110011001010001100100110101011101011001001110110110011010100001000101001011010101100111111001000011000100100011101110000000110001010010001011100100101101000100100010111001111100000110111011111100010101010010011", - "INIT_D": "0000100011101110101011001000000110101110111111011010100001010000100110011011101011110100100011101011100111000011101110010000010110011110101010000010000011000000111100010100001100001010000110110110111011000011100101010101001011010110011110000001111101100001", - "INIT_E": "1000011111001010101110010110011111100010001100011111001111001100000000010101011100100011101110111111011011101101100001111011000010011010011110011110010100000001010101011101011010001000101100111110111000000000010100100100000000001110110111011011010000110101", - "INIT_F": "1111101111111000100101010101100001010100110101111000111011111101110011001100110111100110001100010001111101111001011101000100000010011010011010000100101101110010000110111101010100101001001000000111101110000011010101101000100010101100010110111010100000101010", - "READ_MODE": 1, - "WRITE_MODE": 1 + "INIT_0": "1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111", + "INIT_1": "0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101", + "INIT_2": "1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011", + "INIT_3": "0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100", + "INIT_4": "0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110", + "INIT_5": "0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001", + "INIT_6": "1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100", + "INIT_7": "0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010", + "INIT_8": "1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111", + "INIT_9": "0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011", + "INIT_A": "0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001", + "INIT_B": "0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110", + "INIT_C": "0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111", + "INIT_D": "0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010", + "INIT_E": "1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000", + "INIT_F": "1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110", + "READ_MODE": 3, + "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6345,15 +6803,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ], - "RCLK": [ 75 ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], "RCLKE": [ "1" ], - "RDATA": [ 37, 92, 51, 93, 25, 94, 44, 95, 38, 96, 33, 97, 34, 98, 45, 99 ], + "RDATA": [ 194, 195, 196, 35, 197, 198, 199, 200, 201, 202, 203, 36, 204, 205, 206, 207 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], - "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], "WE": [ "1" ] } }, @@ -6361,28 +6819,28 @@ "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { - "INIT_0": "1100000110010100101101110101000001101101011000011010000011100101000110011010110100001011111001000101110111110110010101101110000001000100101001011101100010011010111111001100001110111011110110011010000110110001101010010000110101000100101111010011000100111100", - "INIT_1": "0110110010110111011011110001110010110001010000000001001101110000000110101001011111011010111100101010011110000011001110101110100110001110011010100010010000010011101101100001001000011101110111101000011110101110011010000101000101010011111101111101111010111010", - "INIT_2": "0010000000000011110111011000101100011100010101110110101001110001111010011101010010101101000011100000000000100100110101101001100000110110101110001110001010000101100100010010001010010100011011101010101001111000011100001010010110010110010000010110101111100010", - "INIT_3": "1000001100000111101100011110101110110111111010001001010100001000000110100100111001100101100000010110011101100011100101101010001101001010000111011101000011100100000110110011101110001000110011000010011100101111001001110011011110011001001111110100010011010000", - "INIT_4": "1100101100101011101011010000110100001010110001100000111110000010011111000001100111111111111111001100001101011111000111111110000111000011100100011001110101010001011101100111000000001011011110100111111101111011001010001010011000010010010001100001000110101100", - "INIT_5": "1111111001000010010100000110101101011011100000000101011111001001010101110001001001011010101011001100101011001110101111001001100010101011100001001100011010000000111111110111000001000001001011010110100010010100101110110001100110001000000010001011000011110000", - "INIT_6": "1011110100111011101100000000000101010010010001010001100011010101001101010011100111011011111110010000110100010001111111100101101010000101110111010010111100000100101001101101111100010011000010110000001101101011111001011111010010000011010001111101010000001001", - "INIT_7": "1101011000101101011010110110010000001111001110000101110100000001110101111000101100111101000100011110001101100111010010110111100111110011110011101110011000110001000011100101111010110111101010101111001101000010101111110100100000100110010011110111111001000001", - "INIT_8": "0111000010000101010010001110111011101101111011001100110111100110101010100011110010101110111101010110100001000110000010100001000011011000100110010111101100001001110010011101101000100000001111010001000111000001111111000101000011001110110110100100000000001001", - "INIT_9": "1011011111001001010100010011111110100010001101010000100011000011110011001000110111010100000001101101001000001001010010010011000100001110000100010011011011011111000010111000010110100110111000101110001110100100100000010111010011000001011011111110110011100110", - "INIT_A": "1001011101100011110010100010010001001101011011110001001100110001010101001100101000000001100110110001111101001100010011001000011010101110000001000100000111000101000010001000111110001010010010100101111011101000010111101110010101000000011111001010010011100100", - "INIT_B": "1110001010100011011100100101100010010010010111011111110110000001101100110101001001100101000110100000010010100001100111010100111110001011001100111100001010100000101101100101100001010000100101001110000010010010011001001001000111111011111100001010111001011101", - "INIT_C": "1100000101000010011110110010010111110011010010100110001001110001011110111111010101010101000110111010100100001110100000000010101101011100110011001010101111100010101011001100000000101010100111101000011101010011110110110010100100101011010111111001100001100101", - "INIT_D": "0010011000100001111011010011110000000111001011111000000010011001001000010111101001111000000001101101010011101001111011001011101101101111111010110100011000001101010110000000010011011001100111101001110011010011101100101010111100011101011010101010001110110000", - "INIT_E": "1101000110010110100111110101000110100111110000101001110001101110100110000001110000110101101000001001110101100100000110100100110011110101111000011101000111011100101101001001010111111000011010011010011101111001000101110000011100001101011010010011110111010000", - "INIT_F": "1001101111011100110111000111111010000110011101000011101110100001101101111000110100011100101000001001000110000100001110000011010111111101100010001010001010010101011101011001010110000001001101111010010001111010000001101000111000011110010111111001110111110110", - "READ_MODE": 1, - "WRITE_MODE": 1 + "INIT_0": "1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010", + "INIT_1": "0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100", + "INIT_2": "1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000", + "INIT_3": "0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100", + "INIT_4": "0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101", + "INIT_5": "1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101", + "INIT_6": "1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100", + "INIT_7": "0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101", + "INIT_8": "1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110", + "INIT_9": "1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101", + "INIT_A": "1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001", + "INIT_B": "0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100", + "INIT_C": "1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110", + "INIT_D": "1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010", + "INIT_E": "1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101", + "INIT_F": "1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111", + "READ_MODE": 3, + "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", @@ -6399,15 +6857,339 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, "0", "0" ], - "RCLK": [ 75 ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], "RCLKE": [ "1" ], - "RDATA": [ 30, 100, 26, 101, 48, 102, 52, 103, 20, 104, 46, 105, 27, 106, 49, 107 ], + "RDATA": [ 208, 209, 210, 17, 211, 212, 213, 214, 215, 216, 217, 41, 218, 219, 220, 221 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], - "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.4.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101", + "INIT_1": "1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100", + "INIT_2": "1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100", + "INIT_3": "1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000", + "INIT_4": "0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100", + "INIT_5": "0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001", + "INIT_6": "1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010", + "INIT_7": "1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010", + "INIT_8": "1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011", + "INIT_9": "0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111", + "INIT_A": "0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101", + "INIT_B": "0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011", + "INIT_C": "0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110", + "INIT_D": "0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101", + "INIT_E": "1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110", + "INIT_F": "1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 222, 223, 224, 18, 225, 226, 227, 228, 229, 230, 231, 31, 232, 233, 234, 235 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.5.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011", + "INIT_1": "0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011", + "INIT_2": "1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010", + "INIT_3": "0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101", + "INIT_4": "0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101", + "INIT_5": "0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101", + "INIT_6": "1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111", + "INIT_7": "0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110", + "INIT_8": "1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010", + "INIT_9": "1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101", + "INIT_A": "0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110", + "INIT_B": "1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011", + "INIT_C": "0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011", + "INIT_D": "1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111", + "INIT_E": "1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100", + "INIT_F": "1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 236, 237, 238, 23, 239, 240, 241, 242, 243, 244, 245, 42, 246, 247, 248, 249 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.6.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010", + "INIT_1": "1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001", + "INIT_2": "0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111", + "INIT_3": "0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111", + "INIT_4": "1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010", + "INIT_5": "0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111", + "INIT_6": "1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101", + "INIT_7": "1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011", + "INIT_8": "0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100", + "INIT_9": "0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000", + "INIT_A": "0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100", + "INIT_B": "1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010", + "INIT_C": "1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011", + "INIT_D": "0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000", + "INIT_E": "0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001", + "INIT_F": "1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 250, 251, 252, 19, 253, 254, 255, 256, 257, 258, 259, 32, 260, 261, 262, 263 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.7.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101", + "INIT_1": "0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101", + "INIT_2": "1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100", + "INIT_3": "0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111", + "INIT_4": "1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111", + "INIT_5": "0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011", + "INIT_6": "0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001", + "INIT_7": "1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001", + "INIT_8": "0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111", + "INIT_9": "1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001", + "INIT_A": "0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111", + "INIT_B": "0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111", + "INIT_C": "1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101", + "INIT_D": "0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011", + "INIT_E": "0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010", + "INIT_F": "0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 264, 265, 266, 24, 267, 268, 269, 270, 271, 272, 273, 50, 274, 275, 276, 277 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.8.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100", + "INIT_1": "1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001", + "INIT_2": "0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110", + "INIT_3": "0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011", + "INIT_4": "1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000", + "INIT_5": "1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000", + "INIT_6": "0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010", + "INIT_7": "0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010", + "INIT_8": "1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111", + "INIT_9": "0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001", + "INIT_A": "0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001", + "INIT_B": "0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110", + "INIT_C": "0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111", + "INIT_D": "0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101", + "INIT_E": "0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001", + "INIT_F": "0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 278, 279, 280, 37, 281, 282, 283, 284, 285, 286, 287, 51, 288, 289, 290, 291 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], + "WE": [ "1" ] + } + }, + "mem.9.0.0": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111", + "INIT_1": "0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101", + "INIT_2": "1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010", + "INIT_3": "0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000", + "INIT_4": "0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111", + "INIT_5": "1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011", + "INIT_6": "0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001", + "INIT_7": "0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111", + "INIT_8": "0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110", + "INIT_9": "1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001", + "INIT_A": "1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100", + "INIT_B": "1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011", + "INIT_C": "0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001", + "INIT_D": "1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010", + "INIT_E": "0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011", + "INIT_F": "1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110", + "READ_MODE": 3, + "WRITE_MODE": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:277|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:35" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "RADDR": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], + "RCLK": [ 81 ], + "RCLKE": [ "1" ], + "RDATA": [ 292, 293, 294, 25, 295, 296, 297, 298, 299, 300, 301, 44, 302, 303, 304, 305 ], + "RE": [ "1" ], + "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "WCLK": [ "0" ], + "WCLKE": [ "0" ], + "WDATA": [ "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x" ], "WE": [ "1" ] } } @@ -6429,72 +7211,72 @@ }, "$0\\memadr[10:0]": { "hide_name": 1, - "bits": [ 53, 8, 56, 59, 62, 65, 68, 71, 74, 108, 109 ], + "bits": [ 53, 8, 59, 62, 65, 68, 71, 74, 77, 80, 56 ], "attributes": { "src": "memtest.v:29" } }, - "$abc$358$n40": { + "$abc$408$n40": { "hide_name": 1, "bits": [ 9 ], "attributes": { } }, - "$abc$358$n41_1": { + "$abc$408$n41_1": { "hide_name": 1, "bits": [ 14 ], "attributes": { } }, - "$abc$358$n42": { + "$abc$408$n42": { "hide_name": 1, "bits": [ 15 ], "attributes": { } }, - "$abc$358$n43_1": { + "$abc$408$n43_1": { "hide_name": 1, "bits": [ 16 ], "attributes": { } }, - "$abc$358$n44": { + "$abc$408$n44": { "hide_name": 1, "bits": [ 10 ], "attributes": { } }, - "$abc$358$n45_1": { + "$abc$408$n45_1": { "hide_name": 1, "bits": [ 28 ], "attributes": { } }, - "$abc$358$n46": { + "$abc$408$n46": { "hide_name": 1, "bits": [ 29 ], "attributes": { } }, - "$abc$358$n47_1": { + "$abc$408$n47_1": { "hide_name": 1, "bits": [ 11 ], "attributes": { } }, - "$abc$358$n48": { + "$abc$408$n48": { "hide_name": 1, "bits": [ 39 ], "attributes": { } }, - "$abc$358$n49_1": { + "$abc$408$n49_1": { "hide_name": 1, "bits": [ 12 ], "attributes": { } }, - "$abc$358$n50": { + "$abc$408$n50": { "hide_name": 1, "bits": [ 47 ], "attributes": { @@ -6502,46 +7284,142 @@ }, "$auto$alumacc.cc:474:replace_alu$167.C": { "hide_name": 1, - "bits": [ 110, 111, 54, 57, 60, 63, 66, 69, 72, 112, 113 ], + "bits": [ 306, 307, 57, 60, 63, 66, 69, 72, 75, 78, 55 ], "attributes": { - "src": "memtest.v:30|D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/arith_map.v:43" + "src": "memtest.v:30|C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/arith_map.v:43" } }, - "$techmap179\\mem.0.0.0.A1DATA_16": { + "$techmap191\\mem.0.0.0.A1DATA_16": { "hide_name": 1, - "bits": [ 114, 76, 115, 77, 116, 78, 117, 79, 118, 80, 119, 81, 120, 82, 121, 83 ], + "bits": [ 82, 83, 84, 308, 85, 86, 87, 88, 89, 90, 91, 309, 92, 93, 94, 95 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap181\\mem.1.0.0.A1DATA_16": { + "$techmap193\\mem.1.0.0.A1DATA_16": { "hide_name": 1, - "bits": [ 122, 84, 123, 85, 124, 86, 125, 87, 126, 88, 127, 89, 128, 90, 129, 91 ], + "bits": [ 96, 97, 98, 310, 99, 100, 101, 102, 103, 104, 105, 311, 106, 107, 108, 109 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap183\\mem.2.0.0.A1DATA_16": { + "$techmap195\\mem.2.0.0.A1DATA_16": { "hide_name": 1, - "bits": [ 130, 92, 131, 93, 132, 94, 133, 95, 134, 96, 135, 97, 136, 98, 137, 99 ], + "bits": [ 194, 195, 196, 312, 197, 198, 199, 200, 201, 202, 203, 313, 204, 205, 206, 207 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, - "$techmap185\\mem.3.0.0.A1DATA_16": { + "$techmap197\\mem.3.0.0.A1DATA_16": { "hide_name": 1, - "bits": [ 138, 100, 139, 101, 140, 102, 141, 103, 142, 104, 143, 105, 144, 106, 145, 107 ], + "bits": [ 208, 209, 210, 314, 211, 212, 213, 214, 215, 216, 217, 315, 218, 219, 220, 221 ], "attributes": { - "src": "D:\\Software\\Icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap199\\mem.4.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 222, 223, 224, 316, 225, 226, 227, 228, 229, 230, 231, 317, 232, 233, 234, 235 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap201\\mem.5.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 236, 237, 238, 318, 239, 240, 241, 242, 243, 244, 245, 319, 246, 247, 248, 249 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap203\\mem.6.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 250, 251, 252, 320, 253, 254, 255, 256, 257, 258, 259, 321, 260, 261, 262, 263 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap205\\mem.15.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 180, 181, 182, 322, 183, 184, 185, 186, 187, 188, 189, 323, 190, 191, 192, 193 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap207\\mem.14.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 166, 167, 168, 324, 169, 170, 171, 172, 173, 174, 175, 325, 176, 177, 178, 179 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap209\\mem.13.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 152, 153, 154, 326, 155, 156, 157, 158, 159, 160, 161, 327, 162, 163, 164, 165 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap211\\mem.12.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 138, 139, 140, 328, 141, 142, 143, 144, 145, 146, 147, 329, 148, 149, 150, 151 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap213\\mem.11.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 124, 125, 126, 330, 127, 128, 129, 130, 131, 132, 133, 331, 134, 135, 136, 137 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap215\\mem.10.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 110, 111, 112, 332, 113, 114, 115, 116, 117, 118, 119, 333, 120, 121, 122, 123 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap217\\mem.9.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 292, 293, 294, 334, 295, 296, 297, 298, 299, 300, 301, 335, 302, 303, 304, 305 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap219\\mem.8.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 278, 279, 280, 336, 281, 282, 283, 284, 285, 286, 287, 337, 288, 289, 290, 291 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$techmap221\\mem.7.0.0.A1DATA_16": { + "hide_name": 1, + "bits": [ 264, 265, 266, 338, 267, 268, 269, 270, 271, 272, 273, 339, 274, 275, 276, 277 ], + "attributes": { + "src": "C:\\PROGRA~3\\icestorm\\bin\\../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "clk": { "hide_name": 0, - "bits": [ 75 ], + "bits": [ 81 ], "attributes": { "src": "memtest.v:23" } @@ -6555,7 +7433,7 @@ }, "clkosc": { "hide_name": 0, - "bits": [ 75 ], + "bits": [ 81 ], "attributes": { "src": "memtest.v:18" } @@ -6583,7 +7461,7 @@ }, "memadr": { "hide_name": 0, - "bits": [ 7, 6, 55, 58, 61, 64, 67, 70, 73, "x", "x" ], + "bits": [ 7, 6, 58, 61, 64, 67, 70, 73, 76, 79, 54 ], "attributes": { "src": "memtest.v:15" } diff --git a/memtest/mem.init b/memtest/mem.init index 2d3db9c..c782677 100644 --- a/memtest/mem.init +++ b/memtest/mem.init @@ -510,3 +510,1539 @@ daaab929 9409337d a78267ca bafea339 +8b0d6193 +4362e44c +54083cdb +443218ba +ff8526c2 +7aa5e4d8 +31cbbde1 +d1ede902 +a65287d2 +d20d9096 +c0c34607 +1111eab0 +aba2d429 +a7fb7964 +2da9367f +522a5978 +0904e958 +b5758689 +b50f1c55 +862783db +07b29eb3 +8b5be681 +4a2abfac +f3eb1fab +e87aa88c +6f9e1d6d +1c9ecfb4 +181b89a8 +a5f38c85 +fe47293c +235ae748 +588b1a29 +5ee9db2e +74ef6e40 +02e89597 +36e3dad2 +85ee2756 +cb7b80aa +1e562015 +b97ad255 +7e441977 +0438d9be +b7d83895 +f8a19cf4 +2b921a8f +112eeeda +6939bb24 +00bf6232 +adfa5cf2 +c3b2ee7c +63641470 +a9ec7a75 +1b62ed6a +c09c86c5 +1f2613df +313a701b +2587bea7 +0fa56f90 +a78be5b5 +7e3f4677 +902266ef +31ac71d0 +8665e34b +f724787d +364c4776 +f064519f +b1d335b8 +de34670e +a235bfd4 +9bbda3bd +219983f6 +e1349f95 +089ff814 +6308aa8a +436a4992 +37a3247a +32cae770 +bebc048e +3c1c7398 +9f6118d9 +f6421466 +64088995 +579a1e80 +efee79ef +2d79a5c2 +412e1344 +5790ba1b +7ad99e5e +84797a09 +03ebd189 +6a16df8a +045ed9df +089d4895 +4d92b9fe +dd1573aa +7acdce8a +6af2b4f4 +f819fd28 +78f7d150 +4652bcec +5ba9d6a3 +f29b8a41 +84dc1b5e +ba72dde3 +f15df4ca +a25f58a2 +00f602ab +9e9f8d08 +0c7f3489 +0a894ad8 +55de58bd +90c3aca3 +b1dc0ce4 +66200f76 +385d83ad +397c0259 +b06ed720 +9998a9e9 +13be4a5d +b98e3ad7 +17377101 +0d24873a +1e41927f +9aff73cc +b6acb411 +8b692952 +282b4a8d +28537ad0 +f515527c +da0d46fd +5d9e1e62 +3328465b +bc265428 +2df84a3f +11bcc5c8 +3e374744 +c3da8ffd +eba09c5b +d368fb1e +ca166db1 +20e68c23 +9f496652 +0ae72099 +2bcf5969 +f55085ca +01bcb7b4 +ddac62ad +f88e78ed +5b656f5e +6767d5ad +f1971168 +bfa82efd +d1e5e844 +e7085824 +1a5f4840 +afe101bf +bd748a58 +2b3e3757 +19c89a5d +d93e761e +a0acd7a2 +928a8b58 +3cb4b3cc +dc6d169c +e78ad761 +0b3a129c +1d555052 +d5fd457b +2282ba36 +553043ba +63a870e3 +e9f46009 +0ccf4337 +0647470d +fc3d077e +7a57af83 +98216078 +d53bcb73 +dd967d87 +8f72805a +8b374924 +d658f27f +f9ef8452 +741e407c +bcf7a778 +de437902 +5cd1fa87 +51ecc5f7 +3f660758 +2102a29c +0abd278c +b5f98012 +dda36bd5 +90cd516f +c314fad1 +e16841ee +97b032b2 +0d63283f +c4791ee1 +1979ac75 +93ec3d04 +3e6a1f72 +9295aefe +5da0ebe1 +25843b87 +46a1255d +64d114ea +46b2d10a +ab312f2b +1adf065b +e24cd6ab +041ff96b +6f48116c +29f86ede +1c4e5447 +1e7451b4 +7767e181 +adc9624a +ed3aa7cc +9080870f +ee105cdd +5d4481e4 +b1ff430e +e4cff3d5 +1d98a769 +93da0b59 +afc923c3 +8cdd2665 +0144a7c9 +03f5fe51 +34f57ade +51dd9533 +d145fe0e +c1d76ce8 +e4c321bb +277dfae3 +d90c386f +7053c559 +8656698f +c78430d4 +76a646f5 +9ad28329 +c2a235f8 +6d8636f0 +50b080ae +a75ef5d2 +8c7a8c44 +95ed7813 +57a8d39e +f485f2ad +827bdd19 +958fe6c9 +82bcfd9c +48754d69 +8f28d765 +f68a4709 +3ab2699a +c03b201c +8f8b478e +8dd5f9f9 +690fca52 +46e55436 +0870e505 +1c7f888b +abd684b6 +2b8cd8a8 +d7120348 +e11f0ff3 +20c99a3f +cb14582a +ef8a6ca2 +e300cfbf +8acc5a60 +2cc9b90a +0f1c43a0 +2e484d6e +834bbb58 +57283968 +24a44a46 +c185d4d4 +fe6942df +78241922 +dd32397c +cafaebb8 +f0742f36 +958a6591 +fb43d3d3 +66b19cf1 +b56985fc +803fa46b +05241ff6 +1b1a9a39 +e0a060c3 +c2eaa787 +a92a430e +d9a09d17 +b2ce7ab6 +6ff43b92 +e2ebb87b +11b7f911 +86536265 +5b51f300 +8014f07e +34cd0c3d +7bdfaa78 +6d70b85e +6c963489 +b05a3a0a +1140a0bd +7f374858 +030a0170 +b7fe4a44 +69b3f395 +9f9130ff +6b8500ac +3cc681a8 +e844665d +9a22e752 +bbdbed9e +d151f508 +c8eac57e +f196144a +ba5c5ce1 +b2508747 +b966b95b +c7d59f4d +0504c47d +2690acf4 +a1d315a1 +3ff04592 +5c5dbcb9 +d9faf550 +2527ba04 +4ed80775 +d96c8c4a +23d68d82 +b5c21ad1 +5a387c29 +bf9928c3 +a9b113b7 +efbf63d6 +9af21072 +a0efecba +64617198 +075d44e9 +cbbfe159 +b9947487 +f67b5aa7 +45ac3892 +8c3c78d7 +c93d1614 +d3f9a8e5 +7d5a9763 +886e8d18 +15a9a076 +7d0b6b43 +23923ec4 +825a3909 +9132f136 +dbf09ffc +9b20d255 +6c9c5dc8 +59ae32d2 +da2ba2aa +60838f25 +7e823ea4 +97f4eaa9 +10e39f4d +dfbe24ee +c97c3aff +021510c8 +cd0be9fc +ca8eff26 +a287f685 +86fb5d10 +e2f20b46 +04c7a2c7 +faac48ec +71d8c158 +6cc215c7 +79fb995c +b98abfbc +c8576510 +da6266de +ce6a3120 +4bda9eaf +d189362c +2679adb8 +0428336b +43360a15 +98000e87 +fa29c7c7 +dc5a0147 +837cc524 +657e2308 +2652b8e3 +0aa8b34a +09107ee2 +4eeea9b2 +1ce9a74e +11d3758a +71e2a13f +a63fec7b +9c8813df +2351c128 +12b30f96 +4b306b80 +570f9440 +f8f365b1 +0b8308ed +ac51202c +bbb16478 +19518561 +1197a9b7 +cae28755 +2d06280d +de9c22c0 +75d239c4 +54c6eb7f +3be633af +d0e9e04a +4a57760c +b9839989 +232785fe +075116ce +9c778fc4 +1a6eeb43 +3ffc7bf6 +a12183af +e9e8e713 +f2525906 +fc9689ab +649963aa +38d00836 +06e162ed +e90b6997 +15c5f9cb +c945c6a9 +e2734fad +41e7fe8e +9ac8cc2b +ce955e5b +bee65d84 +3ccf885d +ef833ab1 +8aa043d3 +65c4e1fc +fe255a97 +59ebf7a8 +4cbbcbd5 +29dc625b +fcfb71ee +4d7d314f +a8d40523 +392a67df +1e28a98f +e4c415d7 +ed4db0c2 +16e1eeee +b280221c +2098419d +36f01db0 +3932152f +0f38a172 +c7e1fc8b +2a708365 +cb71df9d +cab71284 +29ec65ef +10a11f3d +c3e40dc4 +67cee664 +2d6ee757 +3ab965fb +b0231410 +e1affcb2 +5045bd56 +ef693171 +03d9076e +410b02a0 +3c3541bf +7b4829d4 +09b6476b +dc782236 +d252bd29 +ce9559ee +23b32a9e +4399b57c +5a7f659d +02e3db27 +ed834352 +2e7b11a0 +7a19568b +ab2c9e1d +389c5d46 +2b39e222 +cfb6eda6 +a2ed0e99 +162a77da +e739eedc +c2887365 +701038ff +10689ccc +400e18b0 +898a43e0 +deac4413 +ed39bb36 +4f88bc81 +1b3cf1f9 +122de3b6 +dc2ce159 +2191779c +01ba2c07 +d8090498 +7b5d93a9 +31d459e7 +50807b8d +a0fd4f1f +3146739c +40e3fd60 +304f15a9 +14b7e516 +944483f4 +c9746075 +246c56c2 +6ad7da6a +2b6fe56b +8482a3a2 +6df1792f +bd5de095 +0e8d3508 +db4014ee +d6013116 +3ee5a2fd +3487e3be +5f1749c4 +24fd4f91 +665afdf8 +02933e5c +733a054e +3dec6b33 +22ab2a32 +d9fc362c +cb9b2b7c +b961a03a +9838170d +73e2dbcd +a18ff64a +1962fbd7 +c25c151b +09de7c74 +dee473e4 +5cb003de +6f20669b +52b6bf0c +cbefd4d3 +86241a2b +fbbea5db +5488a473 +f2c5fe1c +47a00c85 +461d5b2d +72772a41 +6a336bf8 +9a4b11fa +219dcaac +9d44b020 +9bfaef72 +1e10b246 +231f019a +9147cc6c +c6f922ff +74a767b8 +9ecd67fa +0973e2a3 +ac9f5ad2 +bc00408c +e733d43a +73b7b47e +11e37e5a +819714b0 +34a21aea +4d801133 +3be04920 +e3817fba +34aca2fb +3bcda958 +bbef48d1 +9b225f7f +51ad00d7 +ff844058 +5ddb2275 +32c05c03 +b8afc6a3 +5a4edb10 +654948aa +764d27f0 +82ece0ed +315d9ef9 +456f57aa +5d98cbdd +b372c276 +5be0073e +3be953db +f3f373d6 +82e72704 +d0c43e2f +10c42d11 +88e67e52 +389effb2 +7a2afda8 +2504b9ad +a81cc9ed +9999915b +aff74ca2 +2a517ec3 +1e6e4e29 +05b711d8 +07ea8962 +3781a44d +e3a8790f +11bdcba7 +a292c9c9 +378b79f2 +8ccade8e +1c47c76b +038512aa +4a77be30 +a1146b0c +793d2e60 +c11d33b6 +071eefb9 +6432cedb +d686a341 +77a51428 +a8c19324 +536d3f28 +f9257c6c +b10ff914 +6bacd8f6 +d8b76d95 +7d8699cb +e388fcac +b7003d38 +7335195d +aa8a8b6e +8d32eb19 +b2f26c0d +8f9c646e +ada24594 +9ab8f718 +2371f779 +9d65b582 +9ef0776c +cf117996 +9b7d6551 +dc664a6e +46b1a0f3 +1ca723d8 +66200a10 +5cf77443 +b3b9d0c8 +cd5f725c +d254c2de +19c2cf67 +56a4dee8 +bde5d5fc +2a4b2c51 +657b32d9 +d065e5e9 +04d755b5 +7cb28fd3 +df0ea5ef +5fb3bd4c +5c9aa809 +77056cfe +7291aef3 +cb703ec0 +b7a7aaac +74a2767b +47ab1c03 +86dffc68 +c81f4b51 +ba49587d +788e8a06 +ff03a3eb +ccdf3094 +f31957da +48ed07df +394003c6 +4ef79120 +e01c8125 +2f976d4b +6b104bcc +cba25754 +31f82ea4 +353dc50c +b8318eec +c8609076 +9cdd4daf +337d046b +aeed687d +7963fa6a +21468a5d +65ac83c7 +c87328c5 +852b2e0a +36a9e77d +ce54f088 +aee62fea +f0058b47 +55043595 +2b475064 +4662dbe3 +19436270 +07589f60 +d9ccc6cc +62131b04 +e6dc50ed +f7facc4b +071a9922 +c0bebb39 +3585eca7 +06aec0e3 +eb01fdd8 +0bf9af2f +11bebd65 +bf2bc404 +4147de9b +0445ded5 +2218199c +cba03325 +aa280425 +abd32de3 +c7970f30 +82d1d366 +350ff65a +1e988920 +a1aece6a +8a8431d9 +62e40de8 +f7a9265a +d175a0a5 +b3511b33 +f04719c0 +ae69be01 +84a77eeb +bd6fe9f8 +0c59cfaa +bc9baed4 +23903936 +91169db1 +a75df49d +0ed36486 +2f65dbc7 +eb2924bd +e6ea9a9e +bf08b02c +97572d68 +880ecd5d +8a163a5b +4c9a1a8b +36581bd4 +2d9162cd +d7f62b37 +c3e568cd +7b65c6cb +c1cdc5ed +7416b2e3 +03060506 +6bb89447 +1e589e61 +27a7f361 +6f6a6d5c +a48cac0c +74a63c2e +18258563 +0ea44661 +0000b609 +031626ad +ddab76f6 +f9f3b390 +aa95640c +1049997c +6a55a65d +d9587004 +77ecc06a +37b12998 +13277ab3 +b2c17f8f +311b0d53 +2f88765b +1500cdac +90d367a6 +fe68b391 +1e2e61ac +bc785ecd +0cc376b5 +7f9336b1 +67b88b87 +8b4453e1 +452244e7 +2b9c8450 +5c951e65 +b2bc7ceb +871ca139 +c59bbc62 +8a202059 +a59fa45e +0af38ea2 +cabb7145 +f4e7823e +dee699c0 +5264f3db +cd06009a +f2b05e2a +ddcad96e +fb5e28d1 +e7184a7b +09de054e +a424cd43 +796322c9 +8df18a18 +b1fcf28b +692103a5 +70ac0c2b +12cba743 +ed01726a +d5890866 +f6862a5a +28ea11fc +aefd12fe +547ee33f +9435cadd +231812e8 +154420dc +bce97abb +249f6968 +1b5f3337 +d956badb +d098aeff +b8296ff0 +be114927 +cc6e2ec9 +c829d7d8 +64cc26bf +00a1cbca +d9608b8a +eec6719c +649725c8 +5949065b +881d267e +cef974d1 +2b13d4ae +55226dc7 +18cbb0f6 +fc036626 +0e71daa9 +1bf93a31 +866ec29e +20491ad8 +5cc3caf9 +6678f4b3 +c38b5d6a +6b13179f +5bd4f399 +c885e64c +c109734a +5899db6a +6bfbf5fa +c8fd87d0 +2017587d +95d0914f +b48f51d3 +b238bf49 +1891a0cb +d3007ec5 +10b5ebb1 +2d8fe06a +dbf3459d +0e7e2247 +6cc5be18 +6293e305 +d1ca4ab8 +b5563792 +b88af8b0 +d88e7e5a +61ac4230 +fa0809f3 +c844f314 +e8db3d63 +d4f143ce +c5c917d2 +1f81bdfd +2990fac6 +a832fca4 +c16e0123 +cf055626 +4db0b6dc +5b5951a7 +f33fa3a5 +c4fc6cb6 +80d16cb9 +69bf05ea +c8b97d31 +8fb01030 +c4b06b33 +18b963b0 +7e7211b5 +0fd82739 +42e43676 +4675eb1f +01d0a86e +d3f57b60 +986c1e24 +e4f09570 +3a71f8cf +86dedb87 +7a1e249e +5b9e9e8c +d75b6e77 +94c1cde3 +9ca43a1f +c0220dc0 +a6d86c25 +cd6f2429 +0256af96 +750aad48 +c159c119 +bdd235d4 +b9520ae0 +e692c283 +44f99c0c +f24fdba2 +1fd4b151 +094bac38 +6cf090b0 +0fff6959 +e3bc0aef +b841eab7 +7c999ff0 +35b12cb7 +1e79e93a +f6465f6d +79398894 +44f37a71 +c9d27373 +bba08ad1 +aaf45d9c +89918a3f +ab144ca6 +127e415e +d0c32b2a +53067e0d +e204abf8 +a19344a7 +ce6e1b5e +0d0b557c +d6e4c19c +fea76687 +d3d88ba0 +c6e15bd2 +4b091b67 +de4073b9 +75817a1c +c12000ad +b4a30fa9 +cb897442 +a87bae44 +ecd967f3 +82275c96 +4b96da1c +928e9800 +d2b71557 +4fd85051 +78b96d2e +6c23beec +8d3a0849 +cc1838f4 +e8eebfd1 +03d2a085 +3c8aecbe +af64ed2c +327cfef6 +a43e6955 +3261eed7 +6770fa8e +7ff21c06 +6c92d43f +a52003f5 +3799ba62 +402770ae +55c6c14b +e9b72510 +2acb066e +124e3a1d +3f0abe8b +4efab6b6 +ad9cbd8a +60b9a3a6 +62699f7c +2b3e546d +a3fc80ac +cc1f64d8 +0469f17c +863f944f +ee102f68 +e4410b64 +6c6c4bda +43d35615 +55e22b59 +220f70f1 +7a959617 +91fd72d6 +2fb5af31 +092128e8 +55a47b80 +516e1b56 +38d89a00 +38f13c60 +a679554c +d464434a +e482a2fc +5d8809c4 +04d6af26 +3406837f +ddec3f41 +22458b59 +6352da82 +f5a3fd55 +2758aacb +157efe61 +2ebeaa42 +c34ec345 +6588a434 +d51ea8c5 +7f21000a +75c21e7e +41877a3a +847689a6 +42427155 +19f56d39 +dcf83f8a +c734a5fe +dd666e6f +e98e43a4 +7a8d7c71 +7b0fb5fa +20273cda +46f9f916 +66dbe40f +556c2e1a +50abeab5 +ca14b555 +02485fc4 +02202f94 +cb6aafaf +e24eea2e +dec01e2f +4df3d62d +e012f684 +ee4e2e75 +c14787d3 +28fdd409 +3d39709b +d101b2d0 +3e482dfb +0772c298 +ec07ce7c +411489ea +3412346e +f511c1f1 +410883a6 +d3b19a6a +28ec26f2 +a32a7783 +2011bed2 +4c35d8c5 +827165f9 +a849c2e6 +0b46964c +95b0e5a3 +401ea927 +2d3d9eb8 +a4e6ab23 +3189c614 +09260973 +789aa8a9 +5dddf744 +85e0ae0a +2a0c03b6 +cf973f6b +86bc9114 +28e8a7a1 +5a5f0c1d +e680535f +0f0c2922 +371eb26e +8bef77c9 +918dd6c6 +a4e6bed8 +79438778 +ca8239c4 +17506e79 +dd771bf8 +300a8802 +e9ab85b3 +2858d091 +61eb77ec +3a069a9f +6650d79d +1f59b152 +da8153dd +bfeb75ce +3e514037 +53f7e2b8 +1d4c89e2 +9f506442 +60c76f54 +a63e5a0c +022a4717 +780a6fc1 +468158f6 +bdbd33bb +89eec336 +b075406e +45bff5c6 +c18dea41 +489ee18d +41c36140 +af215271 +d283b908 +527ff067 +f6ec4838 +10304e9b +ba2d01c6 +4fb09eec +ec8b26bc +8f60b242 +d830b438 +627cb25b +655282e6 +3820c947 +9203d9dd +89fbf1eb +426c958a +90e2335a +365e2548 +ef2ca3b1 +c1140a42 +fa0d36b0 +3fbf5219 +b0fddbd1 +d353e222 +31f66b47 +40200b90 +58b6f113 +d95d953b +7a9b39b2 +4eae5bf2 +6d3b1ff0 +446f2980 +e593bddf +9c6457e5 +286f4e9d +089e2adb +df197145 +ba83a11d +ff20ab0f +b34a029d +2408d3c7 +fdc86555 +b4768cac +69d719f9 +ab47ac81 +357cd836 +e6cc5d61 +dd499e51 +0bb24138 +21ed356e +f1a1c6a2 +053f59b2 +0ef658da +4c71aeae +eee9afa0 +308853e0 +a03c2b1a +f2780d49 +2cb4e105 +6c051c69 +975c6185 +9deba20d +a175751e +b6fdcb65 +411739bf +cc392a5b +76522248 +adf225e7 +bf45f5a0 +dd325ac8 +47b4876d +9030abf3 +b287bed0 +ee4ca364 +5660e044 +46e8c526 +2760443c +5c20a0bb +cba30a82 +7503917d +eadd85a1 +50137615 +ad5b7e59 +40d9e5ff +dae69a04 +bbb3fe4d +9087fb0a +494f6dbb +679d9aed +d79bde61 +31d6656e +259b44ad +82bc827a +e88dfe59 +867a7c2a +c20ca285 +95c6d71f +bafb59d9 +108c5f3b +3dea88e4 +1f716ac1 +e4ee2287 +add80682 +424a125e +25632c24 +d375b0f3 +6e7b6796 +02fb95b2 +1a681516 +5a550aa5 +1b52f075 +f1cbfe7b +9d3e1b33 +a756e5cb +bbd42d86 +7b7af22c +56b81d63 +2a133f01 +cd1c8b52 +d590d0a1 +7bd38f79 +b85181f7 +3f5ba846 +52b150e9 +a415ec7d +cf91813c +b192cd3f +a2b9b601 +e6437dab +797fa782 +122dbe9d +cb214fba +aaa17521 +c487cc85 +c1350318 +fb032525 +060c3de4 +8467439c +049417ac +5a8ff13c +090b1078 +37191272 +3eac8725 +1b4c2ccd +632bb26f +08b49dce +5d652c76 +07fefb7b +6eff7763 +9a38fc5c +f2e7c073 +56d615ff +9e21288d +9b1c9187 +2156e693 +112df9e3 +181a0a1f +1712c019 +585f6095 +bd7ec8f3 +2739caf1 +8f16b886 +c8b8b1ef +50071c5d +55902795 +89b720ea +248c49dd +4ea417f0 +04794769 +ba0fdce1 +23c994f8 +0085888d +497a5ce9 +b68413de +ab6c1141 +950eca47 +cba86146 +2b07ba50 +bc862da0 +b517ef89 +12b31ad8 +9e966640 +29f15151 +8958ea86 +55f14abc +c808394b +0c70dd03 +a1578a4d +5fd9486a +92dbc1fe +f5d89d86 +44ef693e +62bf1790 +4d4bf948 +abe493ef +607d31b7 +91168cee +d1a98aa9 +2816366f +41575282 +99da89f9 +29112fab +4124657d +9aaf1fec +8aac8f19 +a1eb2778 +6160382e +c19d94fa +43969757 +e5672da9 +7a8791e6 +6bfe8be5 +2f3e93c4 +d301024d +d86eafb9 +9fa5ca6d +e58740d3 +923438b9 +770c69c8 +db44431c +fb7f5dc9 +78e9ee30 +1e526b25 +0e5de9dc +a5121e23 +bfad675a +fe63d6cb +20bd0d52 +4a5848f7 +b393a018 +47ca7c92 +014e08b4 +126a574a +36623baf +357e489e +838e31de +fc028baa +f222f571 +e7e91619 +d11769ec +b75f4d19 +f702e4fb +ae42bc5b +be552329 +8892d999 +f13b00d5 +51b504c3 +36e9d727 +e16d78ed +a258ceff +8d722ae2 +8766ab2f +376b58ee +2ad181f5 +2c938d26 +4dd24b07 +9e6f5fdc +92d16d02 +f0c3f46c +28031323 +30d3d236 +c7106fe0 +7785805a +4ff26dab +d16a2b56 +89defa2a +c3be0e67 +e89726b4 +612f9322 +bb122c07 +cb6bd938 +5ebf12b2 +60e679f8 +b4819292 +75e2adb9 +f57e901f +8fff14ab +bf30a24c +35f0a7ac +5eb6aece +264f0e57 +b06c7da1 +77f61ecb +bd3343b4 +b2f41a7a +b8f07015 +3beb354e +940e3b4f +215f49da +ea27e444 +7201a7f7 +bdb95c06 +4b2fb82c +31760aa7 +4b2c5a0e +e4533514 +8c80b5fe +eb09d60f +c76cd9d9 +565d0a32 +acb235a1 +33d53502 +ef6779a8 +28548acf +269a69bd +dd39529d +be7d048a +ef391682 +ec24bd58 +38f999cb +7aafe545 +e6a3e162 +a4306769 +3b457911 +d47d1a14 +713fda8d +ca687dc6 +ee86d80e +f2f3fa1d +e2524301 +93ac9246 +ddf21554 +05f56bd8 +80674349 +4e285278 +9d3955d3 +06f03350 +f75488fd +e03f4006 +20571bbb +86ab8fd1 +2c4e2383 +e4d8efe6 +ca4267a0 +91d896a7 +d76cac39 +add444ba +a15c6401 +ba67cde1 +9be01a0e +74b3cff8 +17bc281e +18cc629d +aad1d4fc +368798b9 +07e179af +06767ed7 +761662c5 +4b905d44 +8835b518 +85d22e1b +38a2045d +1f6458a9 +8b9bbbcf +c5df35b8 +abf894b0 +5c09c0f5 +8211e49e +4f313c29 +b00e9e75 +51f33985 +353ddb2a +de45bba2 +686c5dde +48d82067 +03f883db +6b9331c8 +9f0af865 +3b147d10 +8517647d +b1db1acb +2c9f2ea0 +00b76add +89dc1d8d +a1522997 +7007abd5 +3f583813 +64052d6c +d18ebb96 +1b1e2d0e +0b9107e7 +de550793 +8a60936c +4132b582 +bd93f5bb +985da675 +59620b6a +8d3e1635 +fc1e23c5 +7d641fee +6dd3f988 +dbd0f659 +55c09e32 +c705a60d +dc61d049 +578fad71 +98733df5 +422572f1 +d36a583a +eec09c7e +242390d3 +f853ab7d +ab68f87b +cf8ba92e +0ed5f511 +f4fc3bee diff --git a/memtest/memtest.bin b/memtest/memtest.bin index af388db..1ad202b 100644 Binary files a/memtest/memtest.bin and b/memtest/memtest.bin differ diff --git a/memtest/memtest.v b/memtest/memtest.v index 9919422..3bff973 100644 --- a/memtest/memtest.v +++ b/memtest/memtest.v @@ -11,7 +11,7 @@ module memtest ( input clki ); - reg [31:0] mem[0:511]; + reg [31:0] mem[0:2047]; reg [10:0] memadr; assign random_rom_dat_r = mem[memadr]; diff --git a/memtest/top.rpt b/memtest/top.rpt index 18493c0..132737e 100644 --- a/memtest/top.rpt +++ b/memtest/top.rpt @@ -37,7 +37,7 @@ Successfully finished Verilog frontend. 2. Executing SYNTH_ICE40 pass. 2.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/cells_sim.v' to AST representation. +Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/cells_sim.v' to AST representation. Generating RTLIL representation for module `\SB_IO'. Generating RTLIL representation for module `\SB_GB_IO'. Generating RTLIL representation for module `\SB_GB'. @@ -430,15 +430,14 @@ Removed 33 unused cells and 98 unused wires. 2.7.5.9. Finished OPT passes. (There is nothing left to do.) 2.7.6. Executing WREDUCE pass (reducing word size of cells). -Removed top 23 address bits (of 32) from memory init port memtest.$meminit$\mem$memtest.v:26$131 (mem). -Removed top 2 address bits (of 11) from memory read port memtest.$memrd$\mem$memtest.v:32$68 (mem). +Removed top 21 address bits (of 32) from memory init port memtest.$meminit$\mem$memtest.v:26$131 (mem). Removed top 31 bits (of 32) from port B of cell memtest.$add$memtest.v:30$67 ($add). Removed top 21 bits (of 32) from port Y of cell memtest.$add$memtest.v:30$67 ($add). 2.7.7. Executing TECHMAP pass (map to technology primitives). 2.7.7.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/cmp2lut.v' to AST representation. +Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/cmp2lut.v' to AST representation. Generating RTLIL representation for module `\_90_lut_cmp_'. Successfully finished Verilog frontend. No more expansions possible. @@ -547,7 +546,7 @@ Removed 33 unused cells and 99 unused wires. 2.8. Executing MEMORY_BRAM pass (mapping $mem cells to block memories). Processing memtest.mem: - Properties: ports=1 bits=16384 rports=1 wports=0 dbits=32 abits=9 words=512 + Properties: ports=1 bits=65536 rports=1 wports=0 dbits=32 abits=11 words=2048 Checking rule #1 for bram type $__ICE40_RAM4K_M0 (variant 1): Bram geometry: abits=8 dbits=16 wports=0 rports=0 Estimated number of duplicates for more read ports: dups=1 @@ -571,240 +570,737 @@ Processing memtest.mem: Checking rule #2 for bram type $__ICE40_RAM4K_M123 (variant 2): Bram geometry: abits=10 dbits=4 wports=0 rports=0 Estimated number of duplicates for more read ports: dups=1 - Metrics for $__ICE40_RAM4K_M123: awaste=512 dwaste=0 bwaste=2048 waste=2048 efficiency=50 + Metrics for $__ICE40_RAM4K_M123: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 Rule #2 for bram type $__ICE40_RAM4K_M123 (variant 2) accepted. Mapping to bram type $__ICE40_RAM4K_M123 (variant 2): Read port #0 is in clock domain \clk. Mapped to bram port A1.1. - Updated properties: dups=1 waste=2048 efficiency=50 + Updated properties: dups=1 waste=0 efficiency=100 Storing for later selection. Checking rule #2 for bram type $__ICE40_RAM4K_M123 (variant 3): Bram geometry: abits=11 dbits=2 wports=0 rports=0 Estimated number of duplicates for more read ports: dups=1 - Metrics for $__ICE40_RAM4K_M123: awaste=1536 dwaste=0 bwaste=3072 waste=3072 efficiency=25 + Metrics for $__ICE40_RAM4K_M123: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 Rule #2 for bram type $__ICE40_RAM4K_M123 (variant 3) accepted. Mapping to bram type $__ICE40_RAM4K_M123 (variant 3): Read port #0 is in clock domain \clk. Mapped to bram port A1.1. - Updated properties: dups=1 waste=3072 efficiency=25 + Updated properties: dups=1 waste=0 efficiency=100 Storing for later selection. Selecting best of 4 rules: - Efficiency for rule 2.3: efficiency=25, cells=16, acells=1 - Efficiency for rule 2.2: efficiency=50, cells=8, acells=1 - Efficiency for rule 2.1: efficiency=100, cells=4, acells=1 - Efficiency for rule 1.1: efficiency=100, cells=4, acells=2 - Selected rule 2.1 with efficiency 100. - Mapping to bram type $__ICE40_RAM4K_M123 (variant 1): + Efficiency for rule 2.3: efficiency=100, cells=16, acells=1 + Efficiency for rule 2.2: efficiency=100, cells=16, acells=2 + Efficiency for rule 2.1: efficiency=100, cells=16, acells=4 + Efficiency for rule 1.1: efficiency=100, cells=16, acells=8 + Selected rule 2.3 with efficiency 100. + Mapping to bram type $__ICE40_RAM4K_M123 (variant 3): Read port #0 is in clock domain \clk. Mapped to bram port A1.1. Creating $__ICE40_RAM4K_M123 cell at grid position <0 0 0>: mem.0.0.0 Creating $__ICE40_RAM4K_M123 cell at grid position <1 0 0>: mem.1.0.0 Creating $__ICE40_RAM4K_M123 cell at grid position <2 0 0>: mem.2.0.0 Creating $__ICE40_RAM4K_M123 cell at grid position <3 0 0>: mem.3.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <4 0 0>: mem.4.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <5 0 0>: mem.5.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <6 0 0>: mem.6.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <7 0 0>: mem.7.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <8 0 0>: mem.8.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <9 0 0>: mem.9.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <10 0 0>: mem.10.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <11 0 0>: mem.11.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <12 0 0>: mem.12.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <13 0 0>: mem.13.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <14 0 0>: mem.14.0.0 + Creating $__ICE40_RAM4K_M123 cell at grid position <15 0 0>: mem.15.0.0 2.9. Executing TECHMAP pass (map to technology primitives). 2.9.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v' to AST representation. +Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v' to AST representation. Generating RTLIL representation for module `\$__ICE40_RAM4K'. Generating RTLIL representation for module `\$__ICE40_RAM4K_M0'. Generating RTLIL representation for module `\$__ICE40_RAM4K_M123'. Successfully finished Verilog frontend. 2.9.2. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 9 -Parameter \CFG_DBITS = 8 +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$1038d28725934469d8e7d2020b00f70a17d33ec3\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$f34e4fc64748f57bac4a16b7abe1ff9627c0d713\$__ICE40_RAM4K_M123'. 2.9.3. Continuing TECHMAP pass. -Mapping memtest.mem.0.0.0 using $paramod$1038d28725934469d8e7d2020b00f70a17d33ec3\$__ICE40_RAM4K_M123. +Mapping memtest.mem.0.0.0 using $paramod$f34e4fc64748f57bac4a16b7abe1ff9627c0d713\$__ICE40_RAM4K_M123. 2.9.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 9 -Parameter \CFG_DBITS = 8 +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$5cc69d7ecd3300dc9cfc95fc048409f9c13e60f1\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$d29ea10a8c2254f5db658036dae08160f73747d3\$__ICE40_RAM4K_M123'. 2.9.5. Continuing TECHMAP pass. -Mapping memtest.mem.1.0.0 using $paramod$5cc69d7ecd3300dc9cfc95fc048409f9c13e60f1\$__ICE40_RAM4K_M123. +Mapping memtest.mem.1.0.0 using $paramod$d29ea10a8c2254f5db658036dae08160f73747d3\$__ICE40_RAM4K_M123. 2.9.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 9 -Parameter \CFG_DBITS = 8 +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$236fa4834bad0cd01f824ed435d347351335f583\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$54b8ee85936b5b862f32ccf0024a595c36a339b2\$__ICE40_RAM4K_M123'. 2.9.7. Continuing TECHMAP pass. -Mapping memtest.mem.2.0.0 using $paramod$236fa4834bad0cd01f824ed435d347351335f583\$__ICE40_RAM4K_M123. +Mapping memtest.mem.2.0.0 using $paramod$54b8ee85936b5b862f32ccf0024a595c36a339b2\$__ICE40_RAM4K_M123. 2.9.8. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. -Parameter \CFG_ABITS = 9 -Parameter \CFG_DBITS = 8 +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 Parameter \CLKPOL2 = 1 -Parameter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enerating RTLIL representation for module `$paramod$446237c69b55ceadd7aa1adccf6ba73f79e82ced\$__ICE40_RAM4K_M123'. +Parameter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enerating RTLIL representation for module `$paramod$70b913e53302824162354964047ff9a7c4f55ae7\$__ICE40_RAM4K_M123'. 2.9.9. Continuing TECHMAP pass. -Mapping memtest.mem.3.0.0 using $paramod$446237c69b55ceadd7aa1adccf6ba73f79e82ced\$__ICE40_RAM4K_M123. +Mapping memtest.mem.3.0.0 using $paramod$70b913e53302824162354964047ff9a7c4f55ae7\$__ICE40_RAM4K_M123. -2.9.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 1 -Parameter \WRITE_MODE = 1 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1101110000010001001101000000010110101111010110110100001001111100010111001110010010011010000100111001010101100100001110001100101110111000100001000000101101011110000100101101100111101000111110000011001010001010010000101100011100001100110010101010101101011111 -Parameter \INIT_1 = 256'1011111110001010000111100110000000001000010010001100111100001110001001110100110111111011111010101100001001100000011100011100000001110000111111111011111000000111010110001111111100010001110000111000011000100001111111011000011110001011011100110100010101101001 -Parameter \INIT_2 = 256'0111000001110101101001111010011110011100110011001001011011101110110000100101110000011000100010000001100110110111100000111001100101011101101101010011101110110110100101001111011000100110001101010010010011111101111110011111101000000001010111111100001111111000 -Parameter \INIT_3 = 256'1111001110000110101011010100110101110000000000010011101101000110100110001001110111001110110000110011000010010110110011110101111101101101001000011010110111111110000010001100101000011110110101000010101010101100010111000010110001000111111010111000110001100000 -Parameter \INIT_4 = 256'0011100110110110011001110000001010111011111110010001010011111101101111101001100101110011111100111011011100101000110011010111110010110001100100001001101010101100000010000100010000100011011111100000000110111110111001001110110010000101001011110101111001101000 -Parameter \INIT_5 = 256'0110010100101111100001111110100011111001000101111010101010011001111001001001101010011110110111001100000110011101111000001111111011011001100011101110011011011000000011111010101100111010000010101100111010010011000101100100110010011110011000001101100100001110 -Parameter \INIT_6 = 256'0101100011100010000001101001100000110011111011010101001000101100100101010010001010110010111011010010101101110001110101101111110000101000100101011000100011110111010111011110011001011111101101111011001011111001010000011111010110010100011000001000010010110101 -Parameter \INIT_7 = 256'0110001001101111100101011100001100001000110010101101011100011110001100000110011111111011011011001001010010111101111110011110010011010111111110001111101001110111111101000111011101000111010011010010001001101001010010101011000010101001011010100001011011000111 -Parameter \INIT_8 = 256'0010000101011101001000101110110101111101000000110100111111110000011100110100101011001101001100000101110100101111100001110110010010010100101110101001011100001100101100110110010011001100001101110011100101110011110110000010001110001001101011101010101110100101 -Parameter \INIT_9 = 256'1010100110101111101110010011111101001001110101110100001101100101111101010110111011000001001001100000100111000101111100011101001111011010000111011110110001111010000011101100101101101011110100000110110111101011000011111011011111101000100110001001011111001011 -Parameter \INIT_A = 256'0111101110010010100001001111010110000001100000001010101101010111111110010011110001000001001101110010111010011011110110010100000010110011010010010110100111100110101111011011111011100111100001010110100100110111001110000011001001100101000100101101000110010110 -Parameter \INIT_B = 256'0000111011010101011010001011010110010001100010000111100000101100011001100100010110011000000001110000110111100001100111001000101011100011101101101100101000001101100101000010011110000011000011110000001011100100110100101001001000000100010101010100001000001110 -Parameter \INIT_C = 256'1010111111100110110011010100010011100110101100100001010010101101101110111000000000101010110101001100011010001100010110010000111110111000010010110011110011110100001110000110110001001100001111000110011000010011001000010110110010011001101100100110101111010101 -Parameter \INIT_D = 256'0011001100101011011100110100010010100111001011000110010000110000101100000101111001100111110000101001010111101011110000100001000010000110000100001010101110111111101001111110110000001000110011100101010100010011000001000011101110111000010110011010011010111111 -Parameter \INIT_E = 256'0100001000110100000000011001000011111000111011001101100010100111110000111011000101010110111110011010011001000100101010001010010001010011011100011000100111011000100110000000010111000101100010000110001000010110111000001011001010111111011111101001110001110011 -Parameter \INIT_F = 256'0000101011000111111101011000100001111110101000111110000110010101010110011100011111000110010011011100101111010000110011000011010010110000110110100100110011001010011111011001101010001001000010110110010011011011100101100101111110010101111111001011001011010100 -Generating RTLIL representation for module `$paramod$2a13c6381633c10f050590d575e21dd5a29284a9\$__ICE40_RAM4K'. +2.9.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$80133ed0e502a2cbc0fb9f4f4723ae90ee3bd1b8\$__ICE40_RAM4K_M123'. 2.9.11. Continuing TECHMAP pass. -Mapping memtest.mem.0.0.0 using $paramod$2a13c6381633c10f050590d575e21dd5a29284a9\$__ICE40_RAM4K. +Mapping memtest.mem.4.0.0 using $paramod$80133ed0e502a2cbc0fb9f4f4723ae90ee3bd1b8\$__ICE40_RAM4K_M123. -2.9.12. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 1 -Parameter \WRITE_MODE = 1 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1100001001001000011000110111111010111101110001100000010100101100101101011000100101110111101011011100100000001010001110111001110011101010001001000100100110110111100001000010011100110001100000011001001000001001000010011011000000001000100111001101001011111001 -Parameter \INIT_1 = 256'0000000100101011100000010111111101010011111100001110111010111001101010000011101110110111101110100101100000111010100111001011111101110101100011111011010000010100111101101100011000010111110110001100110111001111000110000000001010001101001000001001110111111100 -Parameter \INIT_2 = 256'1001110001011011011011010111100010011001101001100101010100111100011111110111001101100010010000100000111101010001111111011011011100111001111111010111010111000111100011001110110111000010010111110110110001000011010110011111101000110001001000110100011111101100 -Parameter \INIT_3 = 256'0001100101000011010111101110010100101001000010100100111110010101010110111011010011111011001100000101010110101001010000111110010000011011011100000011010011101000001101100101111000000101111110101011010110001010100110001000011101010100010101100011001111011000 -Parameter \INIT_4 = 256'1011110110001001101111101010101010000101101010100100111010111000110000101000101000100110010111100010101111111101100001110110111101000101010111101111100111111100000101000000001001110010111110010111010001000110000110010110000010001000111001010011111011010100 -Parameter \INIT_5 = 256'1001110110111101111001100000000111100111101100000011000011111100000111001001110100001011001000111111110111011001100101001100111100010111110000111111101110000000111011100101101110000101101011111100011011101110110111101111011001101100011101100011011101011001 -Parameter \INIT_6 = 256'0000011110110101011111011011101000101010111001000010011011000010110101100011111000010111010010101011100111010101101001110101110011111111011111111101000100111110000000000111011111100001010001110101001100110110000100010000100111110000101100101101000110111010 -Parameter \INIT_7 = 256'0111100111100100110111101001010100110000000010101001110100110101001010100001000010010000100101100111000010100101111110000110001110011001101111000001100011111110001100100000110100100011110110001001001111011101010010111001011110110100100110000001001101100110 -Parameter \INIT_8 = 256'0011110011001001010000010000010001001110011101110101111100110101001101110001001101001001111110001010001001000011001101101100100100111000111010110111110001110001000011000000001101111100001001111101101011100010010000101100100000100100101110000111000000100011 -Parameter \INIT_9 = 256'0111110001010001101111110100111001010111111010010111010010100001000011000001000011100100101001000111001000100010001111111111101111011000110001011110000010010000000000000010001101011111011010001000011111100111101010111101000001010001001110011101000010010011 -Parameter \INIT_A = 256'1001100011110100001110111111100011011110001110110101100100101000011001011100110010011001110100110111110101111110110110100110001101100100010100111110001010100111111100100011110011111010110001111100110001011111001111010100101010111011001010001011100000101101 -Parameter \INIT_B = 256'1001100011100000011111110101100110000000001111101011101100010000111010000011110111010011001111100101001001010001010111001010100001001101101010100010001100011001001011100010000011100010100101000010011110000101100010000001100110111010110000011011111010111111 -Parameter \INIT_C = 256'0100100101100111001101000110000110011011100001111010000000001101010011010000101111000011010111011011010101111001011001111101000010010011101110101010110110010110100111111100011101010011111010001000001100001110011101011100010111010011110001101001001110111110 -Parameter \INIT_D = 256'1000111000110011001001111001111111110110010000110101000101011000110010101111110001111010101111010111100000011010000101101000101000010101110010111011001000101011101101100110011111010011111001011111011010011100000101011101100100110001001000001111100010110101 -Parameter \INIT_E = 256'1001011101110011100001011100011110000110110110100011011100110100110010001111101010101011010010101010011000110001010101110011000111000100010111011110100100001100110000011001010001000001110011100101100101100001101000010001111101001000111100000010110111001010 -Parameter \INIT_F = 256'1100100101011111001010000010111000001010010010100010101100100111110011111000001111100111111000100000111111111101010110001001001110111001000100111110001001101110011101010101001011011001110111111010111000011011100011110001000001111101001111001000110111110011 -Generating RTLIL representation for module `$paramod$de2bb95e5f9747ac2158f0970276228b3fb17e86\$__ICE40_RAM4K'. +2.9.12. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$f97a514d2b440ef4e918056000ac32a28b520f0d\$__ICE40_RAM4K_M123'. 2.9.13. Continuing TECHMAP pass. -Mapping memtest.mem.1.0.0 using $paramod$de2bb95e5f9747ac2158f0970276228b3fb17e86\$__ICE40_RAM4K. +Mapping memtest.mem.5.0.0 using $paramod$f97a514d2b440ef4e918056000ac32a28b520f0d\$__ICE40_RAM4K_M123. -2.9.14. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 1 -Parameter \WRITE_MODE = 1 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'0001010101010000111110010010001111000101101001000011000011000101110100111110000110100100010001011111111111101011000000010101110001000011101011011010110011000111110100111001010011010101101101011000110111010010001011110001111101001101011101010111011111111000 -Parameter \INIT_1 = 256'1110010001100111110101010101000010010100000100101100100011100110000001101001000100001101110100100100111110100000101100111111010011000011110111100111110011111000100100000101001111100011110101010000001010000000001010100001110011100101011111010111110111011101 -Parameter \INIT_2 = 256'0001111111100101111011000010010010001001000111111101001011010000010100001001001110110011000000001001001000111010011100110011010010010101001101101100010110100011101111111101000100111101010101011011011001000000100101011111101001100000000100111001111101100010 -Parameter \INIT_3 = 256'0010111100110110100011101101100100100010101000001101111000000001000000101000110110010111001100010101000000010111011011011001100010101011001111001100101110101001111011001000000010100101110000000110111111101010111011111110001010110110101100101111111111001111 -Parameter \INIT_4 = 256'0111000101010110111001101001111000111111110000000011110100110001000111110111100011010111111101000111000111000001111011011000011111111001001101110011110000001011110101111011000101011100001101100111000101010101001011101101101101000100101110011111111100110100 -Parameter \INIT_5 = 256'0101010010011101011011010101000011111001010010110101010001010010111100100010110001101100110000011001111001010010111011111011111011100000010101101100100011100001010101100110000101000110110111010000110110111110101000100101010010000111111010000010011110111100 -Parameter \INIT_6 = 256'0100100000010100111111010011001010001001011110000110010100111110110001011111110011111011000001100000000011001110010101000101111111010010010101111010010011011011110011011001001110001101000010000010011111000010111010011000010111100010110001111110010101010110 -Parameter \INIT_7 = 256'1111011110010001000101011010010100010011010101110100011111101101100101110110011000111000101100011100010001100110100110000101000100111110011011000111011101010101101101010101001100110100000101000111000101111010000111100010110010000010000011100001110011111110 -Parameter \INIT_8 = 256'0011000001001111001001110001101011101111000011000111101100100000000101111100011000100110101111001101101101001011110100110111000111110110110010111001111000110101001100100000110100010111001111000010001110110111100110101011000000000100110100011110010100100011 -Parameter \INIT_9 = 256'1011000011100011110101110100010111101010110101010111011011000101110001000100100010010101111001000100010101011000111111101111111000101011001000111101110110011111111001010100111101010101011100100110111101001001101011011011111011111000100011110001111011010001 -Parameter \INIT_A = 256'0010110111111100000001000001110100010011011100101000000010100001101000110010100000011111100010000110011010101001100000100110100110011110010010010011010100101001100010111001010000100111001000110111110111001100000111001101010000001101000001110000101011000101 -Parameter \INIT_B = 256'0010101010001000110110110100000011000100100100111010111100110001100111001010111000001111000110011101110000101100010110001011111110110101100111111101000000000100010011011101100001000110111111100011110101100100010010011110001101110101001111011000010011110110 -Parameter \INIT_C = 256'0110101011001010100011101000110011111010011100110011001010001100100110101011101011001001110110110011010100001000101001011010101100111111001000011000100100011101110000000110001010010001011100100101101000100100010111001111100000110111011111100010101010010011 -Parameter \INIT_D = 256'0000100011101110101011001000000110101110111111011010100001010000100110011011101011110100100011101011100111000011101110010000010110011110101010000010000011000000111100010100001100001010000110110110111011000011100101010101001011010110011110000001111101100001 -Parameter \INIT_E = 256'1000011111001010101110010110011111100010001100011111001111001100000000010101011100100011101110111111011011101101100001111011000010011010011110011110010100000001010101011101011010001000101100111110111000000000010100100100000000001110110111011011010000110101 -Parameter \INIT_F = 256'1111101111111000100101010101100001010100110101111000111011111101110011001100110111100110001100010001111101111001011101000100000010011010011010000100101101110010000110111101010100101001001000000111101110000011010101101000100010101100010110111010100000101010 -Generating RTLIL representation for module `$paramod$cb6b0f67ab14626e9461e88b61012df03159e4cf\$__ICE40_RAM4K'. +2.9.14. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$a1c7297c5d07698b21ac139a98895b267b24d56b\$__ICE40_RAM4K_M123'. 2.9.15. Continuing TECHMAP pass. -Mapping memtest.mem.2.0.0 using $paramod$cb6b0f67ab14626e9461e88b61012df03159e4cf\$__ICE40_RAM4K. +Mapping memtest.mem.6.0.0 using $paramod$a1c7297c5d07698b21ac139a98895b267b24d56b\$__ICE40_RAM4K_M123. -2.9.16. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. -Parameter \READ_MODE = 1 -Parameter \WRITE_MODE = 1 -Parameter \NEGCLK_R = 1'0 -Parameter \NEGCLK_W = 1'0 -Parameter \INIT_0 = 256'1100000110010100101101110101000001101101011000011010000011100101000110011010110100001011111001000101110111110110010101101110000001000100101001011101100010011010111111001100001110111011110110011010000110110001101010010000110101000100101111010011000100111100 -Parameter \INIT_1 = 256'0110110010110111011011110001110010110001010000000001001101110000000110101001011111011010111100101010011110000011001110101110100110001110011010100010010000010011101101100001001000011101110111101000011110101110011010000101000101010011111101111101111010111010 -Parameter \INIT_2 = 256'0010000000000011110111011000101100011100010101110110101001110001111010011101010010101101000011100000000000100100110101101001100000110110101110001110001010000101100100010010001010010100011011101010101001111000011100001010010110010110010000010110101111100010 -Parameter \INIT_3 = 256'1000001100000111101100011110101110110111111010001001010100001000000110100100111001100101100000010110011101100011100101101010001101001010000111011101000011100100000110110011101110001000110011000010011100101111001001110011011110011001001111110100010011010000 -Parameter \INIT_4 = 256'1100101100101011101011010000110100001010110001100000111110000010011111000001100111111111111111001100001101011111000111111110000111000011100100011001110101010001011101100111000000001011011110100111111101111011001010001010011000010010010001100001000110101100 -Parameter \INIT_5 = 256'1111111001000010010100000110101101011011100000000101011111001001010101110001001001011010101011001100101011001110101111001001100010101011100001001100011010000000111111110111000001000001001011010110100010010100101110110001100110001000000010001011000011110000 -Parameter \INIT_6 = 256'1011110100111011101100000000000101010010010001010001100011010101001101010011100111011011111110010000110100010001111111100101101010000101110111010010111100000100101001101101111100010011000010110000001101101011111001011111010010000011010001111101010000001001 -Parameter \INIT_7 = 256'1101011000101101011010110110010000001111001110000101110100000001110101111000101100111101000100011110001101100111010010110111100111110011110011101110011000110001000011100101111010110111101010101111001101000010101111110100100000100110010011110111111001000001 -Parameter \INIT_8 = 256'0111000010000101010010001110111011101101111011001100110111100110101010100011110010101110111101010110100001000110000010100001000011011000100110010111101100001001110010011101101000100000001111010001000111000001111111000101000011001110110110100100000000001001 -Parameter \INIT_9 = 256'1011011111001001010100010011111110100010001101010000100011000011110011001000110111010100000001101101001000001001010010010011000100001110000100010011011011011111000010111000010110100110111000101110001110100100100000010111010011000001011011111110110011100110 -Parameter \INIT_A = 256'1001011101100011110010100010010001001101011011110001001100110001010101001100101000000001100110110001111101001100010011001000011010101110000001000100000111000101000010001000111110001010010010100101111011101000010111101110010101000000011111001010010011100100 -Parameter \INIT_B = 256'1110001010100011011100100101100010010010010111011111110110000001101100110101001001100101000110100000010010100001100111010100111110001011001100111100001010100000101101100101100001010000100101001110000010010010011001001001000111111011111100001010111001011101 -Parameter \INIT_C = 256'1100000101000010011110110010010111110011010010100110001001110001011110111111010101010101000110111010100100001110100000000010101101011100110011001010101111100010101011001100000000101010100111101000011101010011110110110010100100101011010111111001100001100101 -Parameter \INIT_D = 256'0010011000100001111011010011110000000111001011111000000010011001001000010111101001111000000001101101010011101001111011001011101101101111111010110100011000001101010110000000010011011001100111101001110011010011101100101010111100011101011010101010001110110000 -Parameter \INIT_E = 256'1101000110010110100111110101000110100111110000101001110001101110100110000001110000110101101000001001110101100100000110100100110011110101111000011101000111011100101101001001010111111000011010011010011101111001000101110000011100001101011010010011110111010000 -Parameter \INIT_F = 256'1001101111011100110111000111111010000110011101000011101110100001101101111000110100011100101000001001000110000100001110000011010111111101100010001010001010010101011101011001010110000001001101111010010001111010000001101000111000011110010111111001110111110110 -Generating RTLIL representation for module `$paramod$d46a79f9db485f5e0ae25ea95384f4a64dd34959\$__ICE40_RAM4K'. +2.9.16. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter \INIT = 4096'1100111011001111011001111101110101111001101001101100001101000110100000101000100100010111000110011001101110000010100101000000100000011010101011101111001000111100100110001110111111110111001011010011111011000011001001111110110100011001110010001010100110000100101011011001011110011111101101011100001110100100000010101011000111101010111011111111100000000001100100111010000001111101101110111100010111011101101010010010010011100110010101111001100011011000100010100011101010010000100001001001011110001001000000001010011110010001000100000000010010001111111011000111101011100100100111110001011010101100010000011100011011000000101011101110000011010110101101100111011101000101111010011110100111011010101001001110001101000011000011110010011011001011101100001011010101011101010011100011111100100110100001011110110110001101111001011101101010010100100110000100101100010001001100110011011010100000110100101100100101000010000110001010010010001101110001110000110000111111011111110000110101010100010111111111000110010101110111000000110100110000011111100000010100001001000101011111100011100001011001000000110101001001010100100010000011111001010111100110111011101101110111111111001110110111001010101011010111000001101100010000110111101011010011101110101101011000111011000101000100111011011011110101111110000011111111110111101011010100110000110010101000110101111101011101010010000011000100111001011111000111111010111100001000001001100011111100010110100110001111111111011111110010101110100100011001010010001110000000100000011101001011110000000001100100000100011101111100000110101010111100001010001000101010111011110110100000101110101100000110000011000011001111011100000100011110110010110100011000101110000011010011010001111111011011100101101101010101110100110100100100111110010100011110111010001010101010100110110111011011011001110100110110010000100010001100000000001010100001001000111011000110010100100101011000011101101000001100010010000111101000100111100000101000100101010111011110110101011100110010011010111100000100010001000011110010011000010011100000010010010001110000110000011111100100011111001011000010010011000101001111110001000100110111100000011100001111001100000000100011110000100111000101110110110010111001111100110000011111111000001000001001110001011100110000101000110101000010100100000100000001101111100100001101111111100101011100111010111100111100100101110101101110100001001001111110011110110001101011101001100011010011010010000011101010111111101011000110011000010010010101001001100011011011101111000010100111101111110111110001100000001011111100111100100000010110101100111001101010110110101001011110011110011100111111010000001010100011100111101110010000000100110010010101000110001000110010111110111000000001011110011111101011111001110000110101001100001111001010110000101000111110110101111100110000100011111111000000100001111100001010100000001000101000000110100100001000101110101101010111010111010000010010010101001101011110001000000101001100101011101100111000100110000000001100100111100001000011100001100011100000010101001110000001111101100010101000010010100011111011000111010101101010100111001001111101101100001010101110100110001110111111000001011100100101101101000010101100111001110101001110001111011010011011011011110100101010110011011111101000000000000011000010000000111100110010101000000100111110101101001110111101001001100100111000100100001001110011110011111101011111000001100011100111000011101011000000000010111110110001111011101100000111100010100100010100001011111000010110001000100101100001100001111100100111101011011001010111000010110110011100100100101011011100000000001011111111000101110001010101011100110000000101110100010101010111110111000100010010000000111110101011110101010010111110111100001100000000110001101101110110000100100111101011000110100111000110001000001111100001110000101111100001000010001010111010100000100011111000011110101110001111011010111000011101001101011101011100110000000100100011111111111111100100101110100100011000000011111001100111001100010100010101011010011011010000000110001101101000001101100101001101101010010101110001000001010010111110011000010011111011110100001001 +Generating RTLIL representation for module `$paramod$c02feb6cffe46223ae1ed3b34a9ebbbf5b325211\$__ICE40_RAM4K_M123'. 2.9.17. Continuing TECHMAP pass. -Mapping memtest.mem.3.0.0 using $paramod$d46a79f9db485f5e0ae25ea95384f4a64dd34959\$__ICE40_RAM4K. +Mapping memtest.mem.15.0.0 using $paramod$c02feb6cffe46223ae1ed3b34a9ebbbf5b325211\$__ICE40_RAM4K_M123. + +2.9.18. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter \INIT = 4096'1100001011101001000101010001011011110001011100000100010110111110000010110011011000001001110111000001100000011100000011000011100101110111101001010010100010101100010000000101101110001101111010111110101101101010111001001000100011001111101001111111111111100111110011111110010011101000000100110011101101010010101100001010110111001110111101101111001111010000110010111110000111110111011001010110101110000010100001001001001001011010001000110101100000010010010111111000011011000010110000100001010000101101010101100101011101100001001001111100010000001100001000011110101100100111111101001001111110011101010100100110001010011101110100001000101101100001110100100110110001100001101101000111101100001110010110101110111000000011100001101110101111101111110100100110001000110101001101111111001011010000011110100100100011011101011000000000110011001100101001010111110101101110101011010100111001001100100110000010000111001110100001001000001010100100111100100011011110001010000110000000000101100010111110010001010000001111011000100110111010011100011001101111010100100111100100101010000000101010101000110110100100111010111011101110110010000010110001010000101000110011010000011101000010100101011000101100001111011111111000100001110010111100110000100001010101110011100101000000001101000000100000110100000010100100011000111001111101101000011001010111110110001001000001100010011000010011010110000001101001001000001111010101101101100101101011011001111011001110001011011100010111000010000000110110000010110011011101011011110111110110011011010000000111101010011000110011000110110000000111101010001001101100110010111101111000100111000010100010000011010010001100001110100100010010011110001100001010111011010011111100101010001100110011111100000011110011110101011100011010110101010011011001000101000101100110001100101111101101101111011011011000001110000001001110011011000001101001101011110001010011110111010011001110011111011101011111111011001100011110111000011100011001010110011011000011011100000110010100000110110111000110111100101001111101010011100010101000010111001110011111010010010101001001000001110010011000101110111010000100100001010011110000100110111110100001100000100000111110110110100111100011100001111000101111000100100001100011101111101011010100101100011101110110000101111000110100011001101101010000001010000111010000100100000100111110111100100010000000000101011110010110010101001011010011010000001111000010100110101101111001001001011110100000111111110001110110111001101100110111101011110001000110101101100010010000111011011100011111001001001000100010100010100110100100001000000011110000000100110101001001100001110000110110100001011100000010010110110110011010110101101000100110001000100101110101000001100001011100101101010111111101000001010111110000101001100101001001110110010110111001100111111001110100111000011000011000110110111101011110100011010100011101011111111011010100000100101111001101001111101101000000100000110100101001101101111111110010001010011001111111110011011110001011010001101000100010011011110011110100001100110101101110010110101100000000111100011010100100011001111111000100001110011101100010100100000001111000110110100010110000001001011010011001000010001011011010100110010011010111001000101110110111111001010110010000101101010000001111011110010000111011001011111100000100011010000110110111010100001000101010111110111011100000101100011111100110011101011101011101111000011000111011001101010100010011001101011111101101110010111000111011111011011101100111111001000100010011000101110011100101100000101011101000010101000011000111101100011111011111000110011001110001100010111001001010110010000001101001111001001100110110101011011111100011110011011000111111111011110001001010111000011000110101010100011101001001100011010100000000110111101101001000001001101100110110011110100100001010001011010000101000011010011001010110000011110010000000010100011010001001111111110101011010110111101011000000110111101111100101110110100001111100001001101101000100000111001110110111011110011100000101011111001111100000010011110110001110000111001010000110000010011011010100001100101010111100011001111100010111101000100101011001 +Generating RTLIL representation for module `$paramod$7b8cb1da04fed3d66ce255399d919ce21f80702b\$__ICE40_RAM4K_M123'. + +2.9.19. Continuing TECHMAP pass. +Mapping memtest.mem.14.0.0 using $paramod$7b8cb1da04fed3d66ce255399d919ce21f80702b\$__ICE40_RAM4K_M123. + +2.9.20. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$8073cf2e09cf7860fd8803aa0caf58a2b7b19846\$__ICE40_RAM4K_M123'. + +2.9.21. Continuing TECHMAP pass. +Mapping memtest.mem.13.0.0 using $paramod$8073cf2e09cf7860fd8803aa0caf58a2b7b19846\$__ICE40_RAM4K_M123. + +2.9.22. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$7601470b7257c750062785939abb2cd6c78f255e\$__ICE40_RAM4K_M123'. + +2.9.23. Continuing TECHMAP pass. +Mapping memtest.mem.12.0.0 using $paramod$7601470b7257c750062785939abb2cd6c78f255e\$__ICE40_RAM4K_M123. + +2.9.24. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$ed9f319bde7ce6671112de05e5b97d1e60af8ddd\$__ICE40_RAM4K_M123'. + +2.9.25. Continuing TECHMAP pass. +Mapping memtest.mem.11.0.0 using $paramod$ed9f319bde7ce6671112de05e5b97d1e60af8ddd\$__ICE40_RAM4K_M123. + +2.9.26. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$445d200a8547cde57317e073680b424a372d97e1\$__ICE40_RAM4K_M123'. + +2.9.27. Continuing TECHMAP pass. +Mapping memtest.mem.10.0.0 using $paramod$445d200a8547cde57317e073680b424a372d97e1\$__ICE40_RAM4K_M123. + +2.9.28. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$fd670452d9656a3e5387849f125a1ac30d4f6a6c\$__ICE40_RAM4K_M123'. + +2.9.29. Continuing TECHMAP pass. +Mapping memtest.mem.9.0.0 using $paramod$fd670452d9656a3e5387849f125a1ac30d4f6a6c\$__ICE40_RAM4K_M123. + +2.9.30. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter \INIT = 4096'0001110011110010011111010100001100101010011110000101101001001110001111111100101100000001011110010101001111001010010010100111010000110011000000001000101111110000010011011000101110001101010011110100010101100011011001000100110010110101111110110000111000111000001110100110111110111110101010010011111101111011011110100001010111100110101111011010101010101010110001110110011001110000001101100110101111100100110011000110111001100100111111001101110000010001101111101100100000100101110100001100110010011011101001100001101100111001001100000111110011001101110101011111011001010111011100001100100010101110010011110111100010011000111000100100111011011111111001111101111100000000001100001001101001110101110001000000000101101101011001000011111000001000110110110011111110110110001011011101000010100011110010000000110001000011110111100111011001011010101100001101110101001011001110110010111001111000001100001100000110100110011000100101010110000100011000111000010101111010110010100000001100110111110110100000011010111001100010101000111001001010001000010100100001010101111011000100110111001001010010101011111011010010100001100000101010001011010011101011011101110001000101001100111011001011100001000010110110010101010011001100110110101001101011001000011110101001000001000100001001000001110100110100011010000101011100000010101010110110111101000100110011011101010100111100110110010111111011010101111000010001100101001011110100000110011010010111000100011100100010100010001011111111001100000100100000111100100011000011011101000001010111111000000110001011000010100101011001001010101100100101110110001101111101110101010000100011011111000000011111100101100110101000110000111011000110000111110010110101010001010010001100110001011111100111111110110001011011101011011111010010001101110011011001010001010010001010100100001011001101010101101010010100110111101110010001101110011101000010101000001111010010001101000101101100110001101101000100001011111111001111011101111100100001111111010001001000111000000010001011100001110011001011100111110101000101011011110000001111111001000001011001000100000100101000000001100110010000011111111101110110001000011101010111110110100001001101000100100000000101000010000111001111010000111110010011110101110100011010000100101101111111011010100010101101010111111100110100111011011000001010001001001000010110101011101110000011101111101101001011001011111000000010101011011010010100001100110101111011010100101000001110010011000001100000101001111000100101111010110010100011010001111111001000101000100011010111100010100001010000110000010000100001111000101100011101111110100001001111010001101000101010100010110001111101010101000101100011110000001001110010000011001101100101000001100001011100000001110101101000011111101100111010110111011111000000100101101001001000100010000111000100111101100000001111011010000010110000100010010111110100110100111010001000010000111001111110110110001101101101100101100110101101010010011010001001000010111000110001010100110000000100101111011110100010000010101101101001000000101011101100110111101111111010101110111011110100100111100111011001110101100010011010011010001000100100000110111111010010011110001000010100001000110010001111010010000111010110001110011011111011001001010010110110000100111010111100101100011001101001001010101010100001100001000100000010001011011111011011110011111000011011001100101001000001000001100100010010000100111011110001101110011111011101100100010110001101100001110100001011001010011100001000000101110001001110000110000010000110101000001101011100001100010011000101000000110101010001010001000011100100001010100001000000001110100100000111001000001111110101000000110001000100001101101101110001011001000011111001110000100111000001010100000100100110000100010111000010001001000001011001101111100010100111101011000100000101010110011010111101111111001000100111110001101101110010001010010101111110110001010111111110011001101101100010101010000010101010111101010000111110110001001001001010010111101000101010000100100111110101101101011010010001110111001001000000000011111011000100001010010111000001001100001001000010100001110010111100011011011101101111101100111100 +Generating RTLIL representation for module `$paramod$cfd4752fe4396a11f4067e795ded473203abc81d\$__ICE40_RAM4K_M123'. + +2.9.31. Continuing TECHMAP pass. +Mapping memtest.mem.8.0.0 using $paramod$cfd4752fe4396a11f4067e795ded473203abc81d\$__ICE40_RAM4K_M123. + +2.9.32. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K_M123'. +Parameter \CFG_ABITS = 11 +Parameter \CFG_DBITS = 2 +Parameter \CLKPOL2 = 1 +Parameter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enerating RTLIL representation for module `$paramod$5009aff4b1d58846e35b9e6a574f5b3bbebc226b\$__ICE40_RAM4K_M123'. + +2.9.33. Continuing TECHMAP pass. +Mapping memtest.mem.7.0.0 using $paramod$5009aff4b1d58846e35b9e6a574f5b3bbebc226b\$__ICE40_RAM4K_M123. + +2.9.34. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111 +Parameter \INIT_1 = 256'0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001 +Parameter \INIT_2 = 256'0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000 +Parameter \INIT_3 = 256'0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000 +Parameter \INIT_4 = 256'0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000 +Parameter \INIT_5 = 256'0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010 +Parameter \INIT_6 = 256'0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001 +Parameter \INIT_7 = 256'0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011 +Parameter \INIT_8 = 256'1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001 +Parameter \INIT_9 = 256'1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011 +Parameter \INIT_A = 256'1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010 +Parameter \INIT_B = 256'1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010 +Parameter \INIT_C = 256'1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101 +Parameter \INIT_D = 256'0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111 +Parameter \INIT_E = 256'1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111 +Parameter \INIT_F = 256'1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100 +Generating RTLIL representation for module `$paramod$cbb4b05670fab0c57b8d232886128f1d03d9d12d\$__ICE40_RAM4K'. + +2.9.35. Continuing TECHMAP pass. +Mapping memtest.mem.0.0.0 using $paramod$cbb4b05670fab0c57b8d232886128f1d03d9d12d\$__ICE40_RAM4K. + +2.9.36. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001 +Parameter \INIT_1 = 256'0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010 +Parameter \INIT_2 = 256'1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111 +Parameter \INIT_3 = 256'1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010 +Parameter \INIT_4 = 256'1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110 +Parameter \INIT_5 = 256'0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100 +Parameter \INIT_6 = 256'0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111 +Parameter \INIT_7 = 256'1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100 +Parameter \INIT_8 = 256'0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110 +Parameter \INIT_9 = 256'1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000 +Parameter \INIT_A = 256'0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001 +Parameter \INIT_B = 256'1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000 +Parameter \INIT_C = 256'1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101 +Parameter \INIT_D = 256'1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011 +Parameter \INIT_E = 256'1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011 +Parameter \INIT_F = 256'1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101 +Generating RTLIL representation for module `$paramod$b73ffb7dfcbd93c60e85ae9ac7150e85e2cd1c23\$__ICE40_RAM4K'. + +2.9.37. Continuing TECHMAP pass. +Mapping memtest.mem.1.0.0 using $paramod$b73ffb7dfcbd93c60e85ae9ac7150e85e2cd1c23\$__ICE40_RAM4K. + +2.9.38. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111 +Parameter \INIT_1 = 256'0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101 +Parameter \INIT_2 = 256'1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011 +Parameter \INIT_3 = 256'0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100 +Parameter \INIT_4 = 256'0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110 +Parameter \INIT_5 = 256'0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001 +Parameter \INIT_6 = 256'1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100 +Parameter \INIT_7 = 256'0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010 +Parameter \INIT_8 = 256'1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111 +Parameter \INIT_9 = 256'0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011 +Parameter \INIT_A = 256'0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001 +Parameter \INIT_B = 256'0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110 +Parameter \INIT_C = 256'0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111 +Parameter \INIT_D = 256'0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010 +Parameter \INIT_E = 256'1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000 +Parameter \INIT_F = 256'1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110 +Generating RTLIL representation for module `$paramod$f8c821864499ec5a32f2425089cf17912c41d135\$__ICE40_RAM4K'. + +2.9.39. Continuing TECHMAP pass. +Mapping memtest.mem.2.0.0 using $paramod$f8c821864499ec5a32f2425089cf17912c41d135\$__ICE40_RAM4K. + +2.9.40. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010 +Parameter \INIT_1 = 256'0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100 +Parameter \INIT_2 = 256'1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000 +Parameter \INIT_3 = 256'0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100 +Parameter \INIT_4 = 256'0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101 +Parameter \INIT_5 = 256'1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101 +Parameter \INIT_6 = 256'1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100 +Parameter \INIT_7 = 256'0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101 +Parameter \INIT_8 = 256'1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110 +Parameter \INIT_9 = 256'1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101 +Parameter \INIT_A = 256'1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001 +Parameter \INIT_B = 256'0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100 +Parameter \INIT_C = 256'1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110 +Parameter \INIT_D = 256'1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010 +Parameter \INIT_E = 256'1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101 +Parameter \INIT_F = 256'1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111 +Generating RTLIL representation for module `$paramod$d20d03106414b31f6d01ddd06e4caced3bed4d08\$__ICE40_RAM4K'. + +2.9.41. Continuing TECHMAP pass. +Mapping memtest.mem.3.0.0 using $paramod$d20d03106414b31f6d01ddd06e4caced3bed4d08\$__ICE40_RAM4K. + +2.9.42. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101 +Parameter \INIT_1 = 256'1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100 +Parameter \INIT_2 = 256'1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100 +Parameter \INIT_3 = 256'1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000 +Parameter \INIT_4 = 256'0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100 +Parameter \INIT_5 = 256'0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001 +Parameter \INIT_6 = 256'1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010 +Parameter \INIT_7 = 256'1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010 +Parameter \INIT_8 = 256'1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011 +Parameter \INIT_9 = 256'0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111 +Parameter \INIT_A = 256'0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101 +Parameter \INIT_B = 256'0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011 +Parameter \INIT_C = 256'0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110 +Parameter \INIT_D = 256'0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101 +Parameter \INIT_E = 256'1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110 +Parameter \INIT_F = 256'1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011 +Generating RTLIL representation for module `$paramod$8b50928abdad807defadcd395783734b2b08c84a\$__ICE40_RAM4K'. + +2.9.43. Continuing TECHMAP pass. +Mapping memtest.mem.4.0.0 using $paramod$8b50928abdad807defadcd395783734b2b08c84a\$__ICE40_RAM4K. + +2.9.44. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011 +Parameter \INIT_1 = 256'0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011 +Parameter \INIT_2 = 256'1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010 +Parameter \INIT_3 = 256'0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101 +Parameter \INIT_4 = 256'0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101 +Parameter \INIT_5 = 256'0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101 +Parameter \INIT_6 = 256'1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111 +Parameter \INIT_7 = 256'0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110 +Parameter \INIT_8 = 256'1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010 +Parameter \INIT_9 = 256'1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101 +Parameter \INIT_A = 256'0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110 +Parameter \INIT_B = 256'1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011 +Parameter \INIT_C = 256'0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011 +Parameter \INIT_D = 256'1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111 +Parameter \INIT_E = 256'1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100 +Parameter \INIT_F = 256'1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111 +Generating RTLIL representation for module `$paramod$24d504412f6d7aa1e0f7de987e7f387f85a4094d\$__ICE40_RAM4K'. + +2.9.45. Continuing TECHMAP pass. +Mapping memtest.mem.5.0.0 using $paramod$24d504412f6d7aa1e0f7de987e7f387f85a4094d\$__ICE40_RAM4K. + +2.9.46. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010 +Parameter \INIT_1 = 256'1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001 +Parameter \INIT_2 = 256'0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111 +Parameter \INIT_3 = 256'0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111 +Parameter \INIT_4 = 256'1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010 +Parameter \INIT_5 = 256'0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111 +Parameter \INIT_6 = 256'1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101 +Parameter \INIT_7 = 256'1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011 +Parameter \INIT_8 = 256'0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100 +Parameter \INIT_9 = 256'0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000 +Parameter \INIT_A = 256'0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100 +Parameter \INIT_B = 256'1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010 +Parameter \INIT_C = 256'1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011 +Parameter \INIT_D = 256'0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000 +Parameter \INIT_E = 256'0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001 +Parameter \INIT_F = 256'1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001 +Generating RTLIL representation for module `$paramod$3ac7d8d7a788c2eee8ce9642651229ad12a4c75d\$__ICE40_RAM4K'. + +2.9.47. Continuing TECHMAP pass. +Mapping memtest.mem.6.0.0 using $paramod$3ac7d8d7a788c2eee8ce9642651229ad12a4c75d\$__ICE40_RAM4K. + +2.9.48. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011 +Parameter \INIT_1 = 256'1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001 +Parameter \INIT_2 = 256'1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110 +Parameter \INIT_3 = 256'1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000 +Parameter \INIT_4 = 256'1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001 +Parameter \INIT_5 = 256'1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111 +Parameter \INIT_6 = 256'1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101 +Parameter \INIT_7 = 256'1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011 +Parameter \INIT_8 = 256'0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100 +Parameter \INIT_9 = 256'0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110 +Parameter \INIT_A = 256'1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010 +Parameter \INIT_B = 256'0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010 +Parameter \INIT_C = 256'1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101 +Parameter \INIT_D = 256'1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010 +Parameter \INIT_E = 256'0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011 +Parameter \INIT_F = 256'1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101 +Generating RTLIL representation for module `$paramod$be7ac40a51c787e38583a6712985561e11fbc125\$__ICE40_RAM4K'. + +2.9.49. Continuing TECHMAP pass. +Mapping memtest.mem.15.0.0 using $paramod$be7ac40a51c787e38583a6712985561e11fbc125\$__ICE40_RAM4K. + +2.9.50. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001 +Parameter \INIT_1 = 256'0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010 +Parameter \INIT_2 = 256'0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111 +Parameter \INIT_3 = 256'0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000 +Parameter \INIT_4 = 256'1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101 +Parameter \INIT_5 = 256'1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100 +Parameter \INIT_6 = 256'0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000 +Parameter \INIT_7 = 256'1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110 +Parameter \INIT_8 = 256'1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100 +Parameter \INIT_9 = 256'1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100 +Parameter \INIT_A = 256'0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000 +Parameter \INIT_B = 256'0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110 +Parameter \INIT_C = 256'0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100 +Parameter \INIT_D = 256'0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011 +Parameter \INIT_E = 256'1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101 +Parameter \INIT_F = 256'1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101 +Generating RTLIL representation for module `$paramod$43ee040a7a0e0cae38b99891f31467acf906d27f\$__ICE40_RAM4K'. + +2.9.51. Continuing TECHMAP pass. +Mapping memtest.mem.14.0.0 using $paramod$43ee040a7a0e0cae38b99891f31467acf906d27f\$__ICE40_RAM4K. + +2.9.52. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011 +Parameter \INIT_1 = 256'1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011 +Parameter \INIT_2 = 256'0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110 +Parameter \INIT_3 = 256'0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101 +Parameter \INIT_4 = 256'0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110 +Parameter \INIT_5 = 256'0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111 +Parameter \INIT_6 = 256'0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000 +Parameter \INIT_7 = 256'1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000 +Parameter \INIT_8 = 256'0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100 +Parameter \INIT_9 = 256'1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110 +Parameter \INIT_A = 256'1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010 +Parameter \INIT_B = 256'0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001 +Parameter \INIT_C = 256'0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110 +Parameter \INIT_D = 256'1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011 +Parameter \INIT_E = 256'1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101 +Parameter \INIT_F = 256'0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111 +Generating RTLIL representation for module `$paramod$c88d7c4436960e4714f331f1468ed0db59974b0d\$__ICE40_RAM4K'. + +2.9.53. Continuing TECHMAP pass. +Mapping memtest.mem.13.0.0 using $paramod$c88d7c4436960e4714f331f1468ed0db59974b0d\$__ICE40_RAM4K. + +2.9.54. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100 +Parameter \INIT_1 = 256'1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110 +Parameter \INIT_2 = 256'0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010 +Parameter \INIT_3 = 256'1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100 +Parameter \INIT_4 = 256'1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000 +Parameter \INIT_5 = 256'0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000 +Parameter \INIT_6 = 256'1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001 +Parameter \INIT_7 = 256'1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101 +Parameter \INIT_8 = 256'0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101 +Parameter \INIT_9 = 256'0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110 +Parameter \INIT_A = 256'0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000 +Parameter \INIT_B = 256'1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001 +Parameter \INIT_C = 256'1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101 +Parameter \INIT_D = 256'0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100 +Parameter \INIT_E = 256'0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100 +Parameter \INIT_F = 256'0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010 +Generating RTLIL representation for module `$paramod$00cfa1a09c31f8672099a8eedc17696b3908e1c3\$__ICE40_RAM4K'. + +2.9.55. Continuing TECHMAP pass. +Mapping memtest.mem.12.0.0 using $paramod$00cfa1a09c31f8672099a8eedc17696b3908e1c3\$__ICE40_RAM4K. + +2.9.56. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011 +Parameter \INIT_1 = 256'1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011 +Parameter \INIT_2 = 256'1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101 +Parameter \INIT_3 = 256'0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111 +Parameter \INIT_4 = 256'1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111 +Parameter \INIT_5 = 256'0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110 +Parameter \INIT_6 = 256'0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110 +Parameter \INIT_7 = 256'0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101 +Parameter \INIT_8 = 256'0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010 +Parameter \INIT_9 = 256'1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101 +Parameter \INIT_A = 256'0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000 +Parameter \INIT_B = 256'1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000 +Parameter \INIT_C = 256'0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010 +Parameter \INIT_D = 256'1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001 +Parameter \INIT_E = 256'0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011 +Parameter \INIT_F = 256'1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010 +Generating RTLIL representation for module `$paramod$d02f8b69728aa273339f27f72a108917c00339c5\$__ICE40_RAM4K'. + +2.9.57. Continuing TECHMAP pass. +Mapping memtest.mem.11.0.0 using $paramod$d02f8b69728aa273339f27f72a108917c00339c5\$__ICE40_RAM4K. + +2.9.58. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1100010111000001000101101010000100100101001111011111010010000000000100001111111110000001100010001011001110010011011000001100110101111100110010110000101111001000111111000001001100100001110000010000011110111101111000110011001100001111100010011001100101111011 +Parameter \INIT_1 = 256'0110100110111000100100011011010100010001110000000111111000101100011110010001111010101011010111010100101101110111110111000001011100000100000100111000111110100000000110000000010001100100000001110110010001100010111000101100101011000101011101010001001100110001 +Parameter \INIT_2 = 256'1010011101100111000001111100110010110110110110011011000000001110011111000100100010111000101101111011110000101110010100001111001101111101110001010000100110110101000011111011011110111111111000010100010101101010100111011010000100111100100110001101111110000011 +Parameter \INIT_3 = 256'0010011101111011111101111001101010001100100000100101011100000010110101000101011000000001110000110110010011011000101010110001100110111110001111111110011001100011111010110101110010100101010000010101011110111011010101111011101101001101111111100001011110001111 +Parameter \INIT_4 = 256'0010010011011001001010011000011010010111100011111111101100000001101111110000101101000101101010110011100011001001000000111010110110010110001011011000001111100100010101010010111101101111010101001001010011001101000100110011111011110101001110001010101101100011 +Parameter \INIT_5 = 256'1100100111001000001010111010110100011010110011010001100100010100011110000100111000110011111011000111111110111010100001110001111110011000010001000010101001010100000111010010101011101101101011101000111101101001110000000000111000011001001010110100000101000011 +Parameter \INIT_6 = 256'0110101010100000010010111101110101110010001100010101010101000101000010011010010111111110001001110001000010011100000110011110010000001100101111101111100101111100111000110111110111000111101110010100000111000111100111100000010110001000001101101101010110101101 +Parameter \INIT_7 = 256'1111100111100111100001011010100110010100110000111101110100001011001001010110011111010010001010001100110110000000110101100101010000101011100110101100110100011111000100011101010111000101111100000101010011010101110000110010111010001100100010100110101101101100 +Parameter \INIT_8 = 256'1011100010110000101011010101001110010011111110110111111000100011111100010011111100111101111110100111111000101011111000000111111111111101101101101001011111110110101011001110111011111101111100111100110000101011011000100110011010000001110010001111100111010101 +Parameter \INIT_9 = 256'1101010011010100110010010111001111110110110011101101010110111110111011011000100001110001110011010001000110000001100011110010101001000110111100111000101110111101000111011111100111110101011110010011001100100011001111110000100100111110101101001101001100101110 +Parameter \INIT_A = 256'1100001111011101101001010011110011101000011000111000100011100000110011001100111110101111011100110110110111111110111100001110101001011111000001101001000101100101100101101001111111101001111100110100111101100001110001111111010010010011001110011100011000101010 +Parameter \INIT_B = 256'1110111011000110111101101000011110011001010000001011011101010011000001111111000000011011110001111001001100011000010101101001010001111001001101010101110010101000010000110011010111100101001101100010011110010101100110101011010101100101111011011111010111101000 +Parameter \INIT_C = 256'0111111010111110010010110111111011101110101110100000000010001010010001101111001010101010000001011001010100100001011001011110000110001111100001110110111011000101001101001100100010001100110111011001111001001010000100110100110000111001100110110001111000100010 +Parameter \INIT_D = 256'0010001011010000110001111111110001101011110100100001001011100100001110101110000101101101111101000010101000001001001011101110000110110111111001100111100010010000110111000101010101001010011000101111101111001110110010011010100100111001001111101010111111010111 +Parameter \INIT_E = 256'1000100100011011010000101011110111101000101101101110000000011011010111001110110100110000101000111111100111100110101010010100101100100010111111100001110111111101011101011110110100010010010010000000101101001110000110001100101000100011011101100000100111001100 +Parameter \INIT_F = 256'1100001011001011010111011100000101111101010001001111111100111110011000111111000011001101001111100010101100010011111001010001000010100110010000101010111010110111001000100010111110010110011001010101101000001011001111010000001000001011101101000110111011011000 +Generating RTLIL representation for module `$paramod$ad2999c67c0cc33071080a5b0a3003b439ff4ffe\$__ICE40_RAM4K'. + +2.9.59. Continuing TECHMAP pass. +Mapping memtest.mem.10.0.0 using $paramod$ad2999c67c0cc33071080a5b0a3003b439ff4ffe\$__ICE40_RAM4K. + +2.9.60. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111 +Parameter \INIT_1 = 256'0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101 +Parameter \INIT_2 = 256'1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010 +Parameter \INIT_3 = 256'0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000 +Parameter \INIT_4 = 256'0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111 +Parameter \INIT_5 = 256'1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011 +Parameter \INIT_6 = 256'0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001 +Parameter \INIT_7 = 256'0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111 +Parameter \INIT_8 = 256'0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110 +Parameter \INIT_9 = 256'1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001 +Parameter \INIT_A = 256'1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100 +Parameter \INIT_B = 256'1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011 +Parameter \INIT_C = 256'0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001 +Parameter \INIT_D = 256'1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010 +Parameter \INIT_E = 256'0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011 +Parameter \INIT_F = 256'1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110 +Generating RTLIL representation for module `$paramod$7e6f70126579c46893ed82c0a9723a8ee513c98e\$__ICE40_RAM4K'. + +2.9.61. Continuing TECHMAP pass. +Mapping memtest.mem.9.0.0 using $paramod$7e6f70126579c46893ed82c0a9723a8ee513c98e\$__ICE40_RAM4K. + +2.9.62. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100 +Parameter \INIT_1 = 256'1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001 +Parameter \INIT_2 = 256'0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110 +Parameter \INIT_3 = 256'0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011 +Parameter \INIT_4 = 256'1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000 +Parameter \INIT_5 = 256'1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000 +Parameter \INIT_6 = 256'0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010 +Parameter \INIT_7 = 256'0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010 +Parameter \INIT_8 = 256'1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111 +Parameter \INIT_9 = 256'0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001 +Parameter \INIT_A = 256'0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001 +Parameter \INIT_B = 256'0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110 +Parameter \INIT_C = 256'0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111 +Parameter \INIT_D = 256'0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101 +Parameter \INIT_E = 256'0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001 +Parameter \INIT_F = 256'0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010 +Generating RTLIL representation for module `$paramod$78737ad525e8dae59dec7a1c607a6bc5306a8b74\$__ICE40_RAM4K'. + +2.9.63. Continuing TECHMAP pass. +Mapping memtest.mem.8.0.0 using $paramod$78737ad525e8dae59dec7a1c607a6bc5306a8b74\$__ICE40_RAM4K. + +2.9.64. Executing AST frontend in derive mode using pre-parsed AST for module `\$__ICE40_RAM4K'. +Parameter \READ_MODE = 3 +Parameter \WRITE_MODE = 3 +Parameter \NEGCLK_R = 1'0 +Parameter \NEGCLK_W = 1'0 +Parameter \INIT_0 = 256'0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101 +Parameter \INIT_1 = 256'0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101 +Parameter \INIT_2 = 256'1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100 +Parameter \INIT_3 = 256'0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111 +Parameter \INIT_4 = 256'1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111 +Parameter \INIT_5 = 256'0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011 +Parameter \INIT_6 = 256'0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001 +Parameter \INIT_7 = 256'1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001 +Parameter \INIT_8 = 256'0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111 +Parameter \INIT_9 = 256'1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001 +Parameter \INIT_A = 256'0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111 +Parameter \INIT_B = 256'0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111 +Parameter \INIT_C = 256'1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101 +Parameter \INIT_D = 256'0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011 +Parameter \INIT_E = 256'0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010 +Parameter \INIT_F = 256'0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100 +Generating RTLIL representation for module `$paramod$37a66f0e87cf155d17acab6ca4babfbf97b805ea\$__ICE40_RAM4K'. + +2.9.65. Continuing TECHMAP pass. +Mapping memtest.mem.7.0.0 using $paramod$37a66f0e87cf155d17acab6ca4babfbf97b805ea\$__ICE40_RAM4K. No more expansions possible. 2.10. Executing OPT pass (performing simple optimizations). 2.10.1. Executing OPT_EXPR pass (perform const folding). -Setting undriven signal in memtest to undef: $techmap189\mem.3.0.0.MASK -Setting undriven signal in memtest to undef: $techmap188\mem.2.0.0.MASK -Setting undriven signal in memtest to undef: $techmap187\mem.1.0.0.MASK -Setting undriven signal in memtest to undef: $techmap186\mem.0.0.0.MASK +Setting undriven signal in memtest to undef: $techmap237\mem.7.0.0.MASK +Setting undriven signal in memtest to undef: $techmap236\mem.8.0.0.MASK +Setting undriven signal in memtest to undef: $techmap235\mem.9.0.0.MASK +Setting undriven signal in memtest to undef: $techmap234\mem.10.0.0.MASK +Setting undriven signal in memtest to undef: $techmap233\mem.11.0.0.MASK +Setting undriven signal in memtest to undef: $techmap232\mem.12.0.0.MASK +Setting undriven signal in memtest to undef: $techmap231\mem.13.0.0.MASK +Setting undriven signal in memtest to undef: $techmap230\mem.14.0.0.MASK +Setting undriven signal in memtest to undef: $techmap229\mem.15.0.0.MASK +Setting undriven signal in memtest to undef: $techmap228\mem.6.0.0.MASK +Setting undriven signal in memtest to undef: $techmap227\mem.5.0.0.MASK +Setting undriven signal in memtest to undef: $techmap226\mem.4.0.0.MASK +Setting undriven signal in memtest to undef: $techmap225\mem.3.0.0.MASK +Setting undriven signal in memtest to undef: $techmap224\mem.2.0.0.MASK +Setting undriven signal in memtest to undef: $techmap223\mem.1.0.0.MASK +Setting undriven signal in memtest to undef: $techmap222\mem.0.0.0.MASK +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [15] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [8] Setting undriven signal in memtest to undef: \pmod_1 -Setting undriven signal in memtest to undef: \pmod_2 -Setting undriven signal in memtest to undef: \pmod_4 -Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [13] -Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [15] -Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [7] -Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [11] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [14:12] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [10] Setting undriven signal in memtest to undef: \led_b Setting undriven signal in memtest to undef: \led_g -Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [3] -Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [5] -Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [7] -Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [9] -Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [11] -Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [13] -Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [15] -Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [9] -Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [1] -Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [3] -Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [5] -Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [7] -Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [9] -Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [11] -Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [13] -Setting undriven signal in memtest to undef: $techmap185\mem.3.0.0.B1DATA_16 [15] -Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [5] -Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [1] -Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [3] -Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [5] -Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [7] -Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [9] -Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [11] -Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [13] -Setting undriven signal in memtest to undef: $techmap183\mem.2.0.0.B1DATA_16 [15] +Setting undriven signal in memtest to undef: \pmod_2 +Setting undriven signal in memtest to undef: \pmod_4 +Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap221\mem.7.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap199\mem.4.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap219\mem.8.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [1] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [4] +Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap217\mem.9.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [0] +Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap215\mem.10.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [7:4] +Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [0] +Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap213\mem.11.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [2:1] +Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap197\mem.3.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [5] +Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap211\mem.12.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap209\mem.13.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [10:8] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [12] +Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap207\mem.14.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap193\mem.1.0.0.B1DATA_16 [15:13] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [7] +Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [4] +Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap205\mem.15.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [9] +Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [10:5] +Setting undriven signal in memtest to undef: $techmap195\mem.2.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [6] +Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap203\mem.6.0.0.B1DATA_16 [15:12] Setting undriven signal in memtest to undef: \pmod_3 -Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [1] -Setting undriven signal in memtest to undef: $techmap179\mem.0.0.0.B1DATA_16 [3] -Setting undriven signal in memtest to undef: $techmap181\mem.1.0.0.B1DATA_16 [1] -Replacing $reduce_or cell `$techmap$techmap185\mem.3.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$184' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap185\mem.3.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$184_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap183\mem.2.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$182' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap183\mem.2.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$182_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap179\mem.0.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$178' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap179\mem.0.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$178_Y = 1'0'. -Replacing $reduce_or cell `$techmap$techmap181\mem.1.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$180' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap181\mem.1.0.0.$reduce_or$D:\Software\Icestorm\bin\../share/yosys/ice40/brams_map.v:307$180_Y = 1'0'. +Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [2:0] +Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [10:4] +Setting undriven signal in memtest to undef: $techmap201\mem.5.0.0.B1DATA_16 [15:12] +Setting undriven signal in memtest to undef: $techmap191\mem.0.0.0.B1DATA_16 [2] +Replacing $reduce_or cell `$techmap$techmap195\mem.2.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$194' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap195\mem.2.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$194_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap193\mem.1.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$192' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap193\mem.1.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$192_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap191\mem.0.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap191\mem.0.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$190_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap199\mem.4.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$198' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap199\mem.4.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$198_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap197\mem.3.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$196' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap197\mem.3.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$196_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap201\mem.5.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$200' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap201\mem.5.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$200_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap203\mem.6.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$202' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap203\mem.6.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$202_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap205\mem.15.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$204' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap205\mem.15.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$204_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap207\mem.14.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$206' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap207\mem.14.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$206_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap209\mem.13.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$208' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap209\mem.13.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$208_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap211\mem.12.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$210' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap211\mem.12.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$210_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap213\mem.11.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$212' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap213\mem.11.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$212_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap215\mem.10.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$214' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap215\mem.10.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$214_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap217\mem.9.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$216' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap217\mem.9.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$216_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap219\mem.8.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$218' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap219\mem.8.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$218_Y = 1'0'. +Replacing $reduce_or cell `$techmap$techmap221\mem.7.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$220' (unary_buffer) in module `\memtest' with constant driver `$techmap$techmap221\mem.7.0.0.$reduce_or$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/brams_map.v:307$220_Y = 1'0'. 2.10.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -814,8 +1310,8 @@ Removed a total of 0 cells. 2.10.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. - removed 96 unused temporary wires. -Removed 33 unused cells and 195 unused wires. + removed 384 unused temporary wires. +Removed 33 unused cells and 483 unused wires. 2.10.5. Finished fast OPT passes. @@ -847,7 +1343,7 @@ Removed a total of 0 cells. 2.12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. -Removed 33 unused cells and 195 unused wires. +Removed 33 unused cells and 483 unused wires. 2.12.8. Executing OPT_EXPR pass (perform const folding). @@ -856,7 +1352,7 @@ Removed 33 unused cells and 195 unused wires. 2.13. Executing TECHMAP pass (map to technology primitives). 2.13.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/techmap.v' to AST representation. +Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. @@ -880,7 +1376,7 @@ Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 2.13.2. Executing Verilog-2005 frontend. -Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v' to AST representation. +Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v' to AST representation. Generating RTLIL representation for module `\_80_ice40_alu'. Successfully finished Verilog frontend. @@ -927,11 +1423,11 @@ Mapping memtest.$xor$memtest.v:62$129 ($xor) with simplemap. Mapping memtest.$xor$memtest.v:63$130 ($xor) with simplemap. Mapping memtest.$procdff$133 ($dff) with simplemap. Mapping memtest.$procdff$134 ($dff) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239 ($xor) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238 ($mux) with simplemap. -Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$not$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$237 ($not) with simplemap. -Mapping memtest.$auto$alumacc.cc:474:replace_alu$167.B_conv ($pos) with simplemap. Mapping memtest.$auto$alumacc.cc:474:replace_alu$167.A_conv ($pos) with simplemap. +Mapping memtest.$auto$alumacc.cc:474:replace_alu$167.B_conv ($pos) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$not$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$285 ($not) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286 ($mux) with simplemap. +Mapping memtest.$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287 ($xor) with simplemap. No more expansions possible. 2.14. Executing ICE40_OPT pass (performing simple optimizations). @@ -939,27 +1435,27 @@ No more expansions possible. 2.14.1. Running ICE40 specific optimizations. 2.14.2. Executing OPT_EXPR pass (perform const folding). -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$294' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [0] = \memadr [0]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$295' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [1] = \memadr [1]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$284' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [1] = \memadr [1]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$296' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [2] = \memadr [2]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$285' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [2] = \memadr [2]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$297' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [3] = \memadr [3]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$286' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [3] = \memadr [3]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$298' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [4] = \memadr [4]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$287' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [4] = \memadr [4]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$299' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [5] = \memadr [5]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$288' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [5] = \memadr [5]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$300' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [6] = \memadr [6]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$289' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [6] = \memadr [6]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$301' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [7] = \memadr [7]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$290' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [7] = \memadr [7]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$302' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [8] = \memadr [8]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$291' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [8] = \memadr [8]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$303' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [9] = \memadr [9]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$292' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [9] = \memadr [9]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$304' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:42$238_Y [10] = \memadr [10]'. -Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$293' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$D:\Software\Icestorm\bin\../share/yosys/ice40/arith_map.v:68$239_Y [10] = \memadr [10]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$348' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [6] = \memadr [6]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$351' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [9] = \memadr [9]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$362' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [9] = \memadr [9]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$347' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [5] = \memadr [5]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$358' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [5] = \memadr [5]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$349' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [7] = \memadr [7]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$360' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [7] = \memadr [7]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$350' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [8] = \memadr [8]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$361' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [8] = \memadr [8]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$352' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [10] = \memadr [10]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$363' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [10] = \memadr [10]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$359' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [6] = \memadr [6]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$346' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [4] = \memadr [4]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$357' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [4] = \memadr [4]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$345' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [3] = \memadr [3]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$356' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [3] = \memadr [3]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$344' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [2] = \memadr [2]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$355' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [2] = \memadr [2]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$343' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [1] = \memadr [1]'. +Replacing $_XOR_ cell `$auto$simplemap.cc:85:simplemap_bitop$354' (0?) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$xor$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:68$287_Y [1] = \memadr [1]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:277:simplemap_mux$342' (??0) in module `\memtest' with constant driver `$techmap$auto$alumacc.cc:474:replace_alu$167.$ternary$C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/arith_map.v:42$286_Y [0] = \memadr [0]'. 2.14.3. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -969,27 +1465,21 @@ Removed a total of 0 cells. 2.14.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. - removing unused `$_XOR_' cell `$auto$simplemap.cc:85:simplemap_bitop$283'. - removing unused `\SB_LUT4' cell `$auto$alumacc.cc:474:replace_alu$167.slice[10].adder'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$331'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$336'. + removing unused `$_XOR_' cell `$auto$simplemap.cc:85:simplemap_bitop$353'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$338'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$341'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$334'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$340'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$337'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$339'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$335'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$333'. + removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$332'. removing unused `\SB_CARRY' cell `$auto$alumacc.cc:474:replace_alu$167.slice[10].carry'. - removing unused `\SB_LUT4' cell `$auto$alumacc.cc:474:replace_alu$167.slice[9].adder'. - removing unused `\SB_CARRY' cell `$auto$alumacc.cc:474:replace_alu$167.slice[9].carry'. - removing unused `\SB_CARRY' cell `$auto$alumacc.cc:474:replace_alu$167.slice[8].carry'. - removing unused `$_DFF_P_' cell `$auto$simplemap.cc:420:simplemap_dff$281'. - removing unused `$_DFF_P_' cell `$auto$simplemap.cc:420:simplemap_dff$282'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$305'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$306'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$307'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$308'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$309'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$310'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$311'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$312'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$313'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$314'. - removing unused `$_NOT_' cell `$auto$simplemap.cc:37:simplemap_not$315'. removed 17 unused temporary wires. -Removed 52 unused cells and 212 unused wires. +Removed 46 unused cells and 500 unused wires. 2.14.6. Rerunning OPT passes. (Removed registers in this run.) @@ -998,21 +1488,19 @@ Optimized away SB_CARRY cell memtest.$auto$alumacc.cc:474:replace_alu$167.slice[ Mapping SB_LUT4 cell memtest.$auto$alumacc.cc:474:replace_alu$167.slice[1].adder back to logic. 2.14.8. Executing OPT_EXPR pass (perform const folding). -Setting undriven signal in memtest to undef: \memadr [10] -Setting undriven signal in memtest to undef: \memadr [9] -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$320' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [3] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$319' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [2] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$327' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$325 [1] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$318' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [1] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$317' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [0] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$326' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$325 [0] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$331' (01?) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$330 [0] = \memadr [1]'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$324' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [7] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$323' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [6] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$329' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$325 [3] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$322' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [5] = 1'0'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$321' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$316 [4] = 1'1'. -Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$328' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$325 [2] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$365' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [0] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$366' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [1] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$374' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [0] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$367' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [2] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$368' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [3] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$375' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [1] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$379' (01?) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$378 [0] = \memadr [1]'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$370' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [5] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$369' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [4] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$376' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [2] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$372' (100) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [7] = 1'1'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$371' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$364 [6] = 1'0'. +Replacing $_MUX_ cell `$auto$simplemap.cc:311:simplemap_lut$377' (010) in module `\memtest' with constant driver `$auto$simplemap.cc:309:simplemap_lut$373 [3] = 1'0'. 2.14.9. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memtest'. @@ -1023,7 +1511,7 @@ Removed a total of 0 cells. 2.14.11. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. removed 3 unused temporary wires. -Removed 52 unused cells and 215 unused wires. +Removed 46 unused cells and 503 unused wires. 2.14.12. Rerunning OPT passes. (Removed registers in this run.) @@ -1039,7 +1527,7 @@ Removed a total of 0 cells. 2.14.17. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. -Removed 52 unused cells and 215 unused wires. +Removed 46 unused cells and 503 unused wires. 2.14.18. Finished OPT passes. (There is nothing left to do.) @@ -1062,7 +1550,7 @@ Transforming FF to FF+Enable cells in module memtest: 2.17. Executing TECHMAP pass (map to technology primitives). 2.17.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/cells_map.v' to AST representation. +Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. @@ -1086,16 +1574,18 @@ Generating RTLIL representation for module `\$__DFFE_NP1'. Generating RTLIL representation for module `\$__DFFE_PP0'. Generating RTLIL representation for module `\$__DFFE_PP1'. Successfully finished Verilog frontend. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$271 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$272 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$273 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$274 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$275 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$276 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$277 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$278 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$279 using \$_DFF_P_. -Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$280 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$323 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$330 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$329 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$325 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$328 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$321 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$327 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$324 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$326 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$322 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$320 using \$_DFF_P_. +Mapping memtest.$auto$simplemap.cc:420:simplemap_dff$319 using \$_DFF_P_. No more expansions possible. 2.18. Executing OPT_EXPR pass (perform const folding). @@ -1122,15 +1612,15 @@ Removed a total of 0 cells. 2.22.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memtest.. - removed 30 unused temporary wires. -Removed 52 unused cells and 245 unused wires. + removed 36 unused temporary wires. +Removed 46 unused cells and 539 unused wires. 2.22.6. Finished OPT passes. (There is nothing left to do.) 2.23. Executing TECHMAP pass (map to technology primitives). 2.23.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/latches_map.v' to AST representation. +Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/latches_map.v' to AST representation. Generating RTLIL representation for module `\$_DLATCH_N_'. Generating RTLIL representation for module `\$_DLATCH_P_'. Successfully finished Verilog frontend. @@ -1171,7 +1661,7 @@ Removed 0 unused cells and 67 unused wires. 2.25. Executing TECHMAP pass (map to technology primitives). 2.25.1. Executing Verilog-2005 frontend. -Parsing Verilog input from `D:\Software\Icestorm\bin\../share/yosys/ice40/cells_map.v' to AST representation. +Parsing Verilog input from `C:\PROGRA~3\icestorm\bin\../share/yosys/ice40/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. @@ -1199,51 +1689,51 @@ Successfully finished Verilog frontend. 2.25.2. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. Parameter \WIDTH = 4 +Parameter \LUT = 16'1001011001101001 +Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'1001011001101001'. + +2.25.3. Continuing TECHMAP pass. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$419 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. + +2.25.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +Parameter \WIDTH = 4 Parameter \LUT = 16'0110100110010110 Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'0110100110010110'. -2.25.3. Continuing TECHMAP pass. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$364 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +2.25.5. Continuing TECHMAP pass. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$414 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. -2.25.4. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +2.25.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. Parameter \WIDTH = 3 Parameter \LUT = 8'10010110 Generating RTLIL representation for module `$paramod\$lut\WIDTH=3\LUT=8'10010110'. -2.25.5. Continuing TECHMAP pass. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$363 using $paramod\$lut\WIDTH=3\LUT=8'10010110. - -2.25.6. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. -Parameter \WIDTH = 4 -Parameter \LUT = 16'1001011001101001 -Generating RTLIL representation for module `$paramod\$lut\WIDTH=4\LUT=16'1001011001101001'. - 2.25.7. Continuing TECHMAP pass. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$362 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$361 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$413 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$412 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$411 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$416 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$417 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. 2.25.8. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. -Parameter \WIDTH = 2 -Parameter \LUT = 4'0110 -Generating RTLIL representation for module `$paramod\$lut\WIDTH=2\LUT=4'0110'. - -2.25.9. Continuing TECHMAP pass. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$359 using $paramod\$lut\WIDTH=2\LUT=4'0110. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$371 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$370 using $paramod\$lut\WIDTH=3\LUT=8'10010110. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$366 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$367 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. - -2.25.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. Parameter \WIDTH = 3 Parameter \LUT = 8'01101001 Generating RTLIL representation for module `$paramod\$lut\WIDTH=3\LUT=8'01101001'. +2.25.9. Continuing TECHMAP pass. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$415 using $paramod\$lut\WIDTH=3\LUT=8'01101001. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$421 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$420 using $paramod\$lut\WIDTH=3\LUT=8'10010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$418 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$410 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. + +2.25.10. Executing AST frontend in derive mode using pre-parsed AST for module `\$lut'. +Parameter \WIDTH = 2 +Parameter \LUT = 4'0110 +Generating RTLIL representation for module `$paramod\$lut\WIDTH=2\LUT=4'0110'. + 2.25.11. Continuing TECHMAP pass. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$365 using $paramod\$lut\WIDTH=3\LUT=8'01101001. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$369 using $paramod\$lut\WIDTH=4\LUT=16'1001011001101001. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$368 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. -Mapping memtest.$abc$358$auto$blifparse.cc:492:parse_blif$360 using $paramod\$lut\WIDTH=4\LUT=16'0110100110010110. +Mapping memtest.$abc$408$auto$blifparse.cc:492:parse_blif$409 using $paramod\$lut\WIDTH=2\LUT=4'0110. No more expansions possible. Removed 0 unused cells and 26 unused wires. @@ -1340,107 +1830,367 @@ Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'01101 Parameter \LUT_INIT = 16'0110100110010110 Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'0110100110010110'. -2.26.24. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 1 -Parameter \READ_MODE = 1 -Parameter \INIT_0 = 256'1101110000010001001101000000010110101111010110110100001001111100010111001110010010011010000100111001010101100100001110001100101110111000100001000000101101011110000100101101100111101000111110000011001010001010010000101100011100001100110010101010101101011111 -Parameter \INIT_1 = 256'1011111110001010000111100110000000001000010010001100111100001110001001110100110111111011111010101100001001100000011100011100000001110000111111111011111000000111010110001111111100010001110000111000011000100001111111011000011110001011011100110100010101101001 -Parameter \INIT_2 = 256'0111000001110101101001111010011110011100110011001001011011101110110000100101110000011000100010000001100110110111100000111001100101011101101101010011101110110110100101001111011000100110001101010010010011111101111110011111101000000001010111111100001111111000 -Parameter \INIT_3 = 256'1111001110000110101011010100110101110000000000010011101101000110100110001001110111001110110000110011000010010110110011110101111101101101001000011010110111111110000010001100101000011110110101000010101010101100010111000010110001000111111010111000110001100000 -Parameter \INIT_4 = 256'0011100110110110011001110000001010111011111110010001010011111101101111101001100101110011111100111011011100101000110011010111110010110001100100001001101010101100000010000100010000100011011111100000000110111110111001001110110010000101001011110101111001101000 -Parameter \INIT_5 = 256'0110010100101111100001111110100011111001000101111010101010011001111001001001101010011110110111001100000110011101111000001111111011011001100011101110011011011000000011111010101100111010000010101100111010010011000101100100110010011110011000001101100100001110 -Parameter \INIT_6 = 256'0101100011100010000001101001100000110011111011010101001000101100100101010010001010110010111011010010101101110001110101101111110000101000100101011000100011110111010111011110011001011111101101111011001011111001010000011111010110010100011000001000010010110101 -Parameter \INIT_7 = 256'0110001001101111100101011100001100001000110010101101011100011110001100000110011111111011011011001001010010111101111110011110010011010111111110001111101001110111111101000111011101000111010011010010001001101001010010101011000010101001011010100001011011000111 -Parameter \INIT_8 = 256'0010000101011101001000101110110101111101000000110100111111110000011100110100101011001101001100000101110100101111100001110110010010010100101110101001011100001100101100110110010011001100001101110011100101110011110110000010001110001001101011101010101110100101 -Parameter \INIT_9 = 256'1010100110101111101110010011111101001001110101110100001101100101111101010110111011000001001001100000100111000101111100011101001111011010000111011110110001111010000011101100101101101011110100000110110111101011000011111011011111101000100110001001011111001011 -Parameter \INIT_A = 256'0111101110010010100001001111010110000001100000001010101101010111111110010011110001000001001101110010111010011011110110010100000010110011010010010110100111100110101111011011111011100111100001010110100100110111001110000011001001100101000100101101000110010110 -Parameter \INIT_B = 256'0000111011010101011010001011010110010001100010000111100000101100011001100100010110011000000001110000110111100001100111001000101011100011101101101100101000001101100101000010011110000011000011110000001011100100110100101001001000000100010101010100001000001110 -Parameter \INIT_C = 256'1010111111100110110011010100010011100110101100100001010010101101101110111000000000101010110101001100011010001100010110010000111110111000010010110011110011110100001110000110110001001100001111000110011000010011001000010110110010011001101100100110101111010101 -Parameter \INIT_D = 256'0011001100101011011100110100010010100111001011000110010000110000101100000101111001100111110000101001010111101011110000100001000010000110000100001010101110111111101001111110110000001000110011100101010100010011000001000011101110111000010110011010011010111111 -Parameter \INIT_E = 256'0100001000110100000000011001000011111000111011001101100010100111110000111011000101010110111110011010011001000100101010001010010001010011011100011000100111011000100110000000010111000101100010000110001000010110111000001011001010111111011111101001110001110011 -Parameter \INIT_F = 256'0000101011000111111101011000100001111110101000111110000110010101010110011100011111000110010011011100101111010000110011000011010010110000110110100100110011001010011111011001101010001001000010110110010011011011100101100101111110010101111111001011001011010100 -Generating RTLIL representation for module `$paramod$fa9c4739b0bad1b827e8ef52d223d84ff6a9572c\SB_RAM40_4K'. +2.26.24. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_LUT4'. +Parameter \LUT_INIT = 16'0110100110010110 +Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'0110100110010110'. -2.26.25. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 1 -Parameter \READ_MODE = 1 -Parameter \INIT_0 = 256'1100001001001000011000110111111010111101110001100000010100101100101101011000100101110111101011011100100000001010001110111001110011101010001001000100100110110111100001000010011100110001100000011001001000001001000010011011000000001000100111001101001011111001 -Parameter \INIT_1 = 256'0000000100101011100000010111111101010011111100001110111010111001101010000011101110110111101110100101100000111010100111001011111101110101100011111011010000010100111101101100011000010111110110001100110111001111000110000000001010001101001000001001110111111100 -Parameter \INIT_2 = 256'1001110001011011011011010111100010011001101001100101010100111100011111110111001101100010010000100000111101010001111111011011011100111001111111010111010111000111100011001110110111000010010111110110110001000011010110011111101000110001001000110100011111101100 -Parameter \INIT_3 = 256'0001100101000011010111101110010100101001000010100100111110010101010110111011010011111011001100000101010110101001010000111110010000011011011100000011010011101000001101100101111000000101111110101011010110001010100110001000011101010100010101100011001111011000 -Parameter \INIT_4 = 256'1011110110001001101111101010101010000101101010100100111010111000110000101000101000100110010111100010101111111101100001110110111101000101010111101111100111111100000101000000001001110010111110010111010001000110000110010110000010001000111001010011111011010100 -Parameter \INIT_5 = 256'1001110110111101111001100000000111100111101100000011000011111100000111001001110100001011001000111111110111011001100101001100111100010111110000111111101110000000111011100101101110000101101011111100011011101110110111101111011001101100011101100011011101011001 -Parameter \INIT_6 = 256'0000011110110101011111011011101000101010111001000010011011000010110101100011111000010111010010101011100111010101101001110101110011111111011111111101000100111110000000000111011111100001010001110101001100110110000100010000100111110000101100101101000110111010 -Parameter \INIT_7 = 256'0111100111100100110111101001010100110000000010101001110100110101001010100001000010010000100101100111000010100101111110000110001110011001101111000001100011111110001100100000110100100011110110001001001111011101010010111001011110110100100110000001001101100110 -Parameter \INIT_8 = 256'0011110011001001010000010000010001001110011101110101111100110101001101110001001101001001111110001010001001000011001101101100100100111000111010110111110001110001000011000000001101111100001001111101101011100010010000101100100000100100101110000111000000100011 -Parameter \INIT_9 = 256'0111110001010001101111110100111001010111111010010111010010100001000011000001000011100100101001000111001000100010001111111111101111011000110001011110000010010000000000000010001101011111011010001000011111100111101010111101000001010001001110011101000010010011 -Parameter \INIT_A = 256'1001100011110100001110111111100011011110001110110101100100101000011001011100110010011001110100110111110101111110110110100110001101100100010100111110001010100111111100100011110011111010110001111100110001011111001111010100101010111011001010001011100000101101 -Parameter \INIT_B = 256'1001100011100000011111110101100110000000001111101011101100010000111010000011110111010011001111100101001001010001010111001010100001001101101010100010001100011001001011100010000011100010100101000010011110000101100010000001100110111010110000011011111010111111 -Parameter \INIT_C = 256'0100100101100111001101000110000110011011100001111010000000001101010011010000101111000011010111011011010101111001011001111101000010010011101110101010110110010110100111111100011101010011111010001000001100001110011101011100010111010011110001101001001110111110 -Parameter \INIT_D = 256'1000111000110011001001111001111111110110010000110101000101011000110010101111110001111010101111010111100000011010000101101000101000010101110010111011001000101011101101100110011111010011111001011111011010011100000101011101100100110001001000001111100010110101 -Parameter \INIT_E = 256'1001011101110011100001011100011110000110110110100011011100110100110010001111101010101011010010101010011000110001010101110011000111000100010111011110100100001100110000011001010001000001110011100101100101100001101000010001111101001000111100000010110111001010 -Parameter \INIT_F = 256'1100100101011111001010000010111000001010010010100010101100100111110011111000001111100111111000100000111111111101010110001001001110111001000100111110001001101110011101010101001011011001110111111010111000011011100011110001000001111101001111001000110111110011 -Generating RTLIL representation for module `$paramod$c0677c5f874d489c6aefa96e8c2fc89bec062c38\SB_RAM40_4K'. +2.26.25. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_LUT4'. +Parameter \LUT_INIT = 16'0110100110010110 +Found cached RTLIL representation for module `$paramod\SB_LUT4\LUT_INIT=16'0110100110010110'. 2.26.26. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 1 -Parameter \READ_MODE = 1 -Parameter \INIT_0 = 256'0001010101010000111110010010001111000101101001000011000011000101110100111110000110100100010001011111111111101011000000010101110001000011101011011010110011000111110100111001010011010101101101011000110111010010001011110001111101001101011101010111011111111000 -Parameter \INIT_1 = 256'1110010001100111110101010101000010010100000100101100100011100110000001101001000100001101110100100100111110100000101100111111010011000011110111100111110011111000100100000101001111100011110101010000001010000000001010100001110011100101011111010111110111011101 -Parameter \INIT_2 = 256'0001111111100101111011000010010010001001000111111101001011010000010100001001001110110011000000001001001000111010011100110011010010010101001101101100010110100011101111111101000100111101010101011011011001000000100101011111101001100000000100111001111101100010 -Parameter \INIT_3 = 256'0010111100110110100011101101100100100010101000001101111000000001000000101000110110010111001100010101000000010111011011011001100010101011001111001100101110101001111011001000000010100101110000000110111111101010111011111110001010110110101100101111111111001111 -Parameter \INIT_4 = 256'0111000101010110111001101001111000111111110000000011110100110001000111110111100011010111111101000111000111000001111011011000011111111001001101110011110000001011110101111011000101011100001101100111000101010101001011101101101101000100101110011111111100110100 -Parameter \INIT_5 = 256'0101010010011101011011010101000011111001010010110101010001010010111100100010110001101100110000011001111001010010111011111011111011100000010101101100100011100001010101100110000101000110110111010000110110111110101000100101010010000111111010000010011110111100 -Parameter \INIT_6 = 256'0100100000010100111111010011001010001001011110000110010100111110110001011111110011111011000001100000000011001110010101000101111111010010010101111010010011011011110011011001001110001101000010000010011111000010111010011000010111100010110001111110010101010110 -Parameter \INIT_7 = 256'1111011110010001000101011010010100010011010101110100011111101101100101110110011000111000101100011100010001100110100110000101000100111110011011000111011101010101101101010101001100110100000101000111000101111010000111100010110010000010000011100001110011111110 -Parameter \INIT_8 = 256'0011000001001111001001110001101011101111000011000111101100100000000101111100011000100110101111001101101101001011110100110111000111110110110010111001111000110101001100100000110100010111001111000010001110110111100110101011000000000100110100011110010100100011 -Parameter \INIT_9 = 256'1011000011100011110101110100010111101010110101010111011011000101110001000100100010010101111001000100010101011000111111101111111000101011001000111101110110011111111001010100111101010101011100100110111101001001101011011011111011111000100011110001111011010001 -Parameter \INIT_A = 256'0010110111111100000001000001110100010011011100101000000010100001101000110010100000011111100010000110011010101001100000100110100110011110010010010011010100101001100010111001010000100111001000110111110111001100000111001101010000001101000001110000101011000101 -Parameter \INIT_B = 256'0010101010001000110110110100000011000100100100111010111100110001100111001010111000001111000110011101110000101100010110001011111110110101100111111101000000000100010011011101100001000110111111100011110101100100010010011110001101110101001111011000010011110110 -Parameter \INIT_C = 256'0110101011001010100011101000110011111010011100110011001010001100100110101011101011001001110110110011010100001000101001011010101100111111001000011000100100011101110000000110001010010001011100100101101000100100010111001111100000110111011111100010101010010011 -Parameter \INIT_D = 256'0000100011101110101011001000000110101110111111011010100001010000100110011011101011110100100011101011100111000011101110010000010110011110101010000010000011000000111100010100001100001010000110110110111011000011100101010101001011010110011110000001111101100001 -Parameter \INIT_E = 256'1000011111001010101110010110011111100010001100011111001111001100000000010101011100100011101110111111011011101101100001111011000010011010011110011110010100000001010101011101011010001000101100111110111000000000010100100100000000001110110111011011010000110101 -Parameter \INIT_F = 256'1111101111111000100101010101100001010100110101111000111011111101110011001100110111100110001100010001111101111001011101000100000010011010011010000100101101110010000110111101010100101001001000000111101110000011010101101000100010101100010110111010100000101010 -Generating RTLIL representation for module `$paramod$3725bf38e61942d5903b6c04e36a9c50c471da56\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1101100000001001010111011001010101011010100000111111101110001100100010010111100000000100101001111001010111100000011011101011001100101101110010001100001111001110100110101101000111001110101100001001011011111010001011011111011111100010110000101111111110110111 +Parameter \INIT_1 = 256'0010001000101110000010000010100000010010111010000001101101110110010100110000000111000010011000101110100010000100010010001001100011100111001001110011100111100011001000111011011101110100011001110101110010000101011000011000011100001000010101110001001011110001 +Parameter \INIT_2 = 256'0010010111001001111110010001001111110111101100001001011110011110001100110001100001001010011011001011110100010011111111100100010110001001011011011110100101000110000111011111101010111101101110011001011111101001010011100101011011101011001110110101011011100000 +Parameter \INIT_3 = 256'0011000111000110010111110101010100111000100000011110010101001110001101111001010111100000111001111111100101101010100101110010111101110100001001010000011111000110000000100110011001110101101010001110101111000100011000111011100011001010001100111110010010010000 +Parameter \INIT_4 = 256'0010100101010110101010001000001010110110001110011001001110010001110001100011000110100100111010110101111000010000011100111010100001100000011001000011011111111000000100010100110000101011001010100101111111001010110100111000100001011111101001110010111010100000 +Parameter \INIT_5 = 256'0010011110011011001101101011000001001101101010111101101010000101101111101001111001011111111010000101001100101101001000111101111001110111100000101010111001110100111010100101001110001110001000101011110011001111111010110110100001010000000111001001011100011010 +Parameter \INIT_6 = 256'0101010000101110111000100101110010010011101100010101001101111100101010000011001000011111011001011010010010100001101001110111100000010101111101011101110100000011111100011001111011100101000101111110101011011001010010011000000110010000111000001111100111101001 +Parameter \INIT_7 = 256'0001101101000011101000001000011111000110101000100010001111000110101010010000101101100111001001001100011100010001011110010111110010001110110101001111000101000111010000010000111111101011110000011000001000000101110100000010010010011110100110101011100100100011 +Parameter \INIT_8 = 256'1010101111110101001110110100010111001100011000111001110011100100000010100101111011100100011000001000011110000111100110010010110001101010100110101100101100111000011101010100110011001001111110110010010010100111110001001100001111111011000111100010000101110001 +Parameter \INIT_9 = 256'1101011110011011011000110001111111001101001111111100000100111001010001111001011000100001101000101010000111101001001100000111101111010011000001010010001011000010101010100110011100110100101100001000101011001111110010010100111100011010010110001111111001000011 +Parameter \INIT_A = 256'1001110010101110001011010000000101111000111111000001110100001111011010110111010011101101011111110000011011100011010111001001000010011110010101011000010101101010001000111100001000011001010101010011100111101011010010001001101010000000000000100001010110110010 +Parameter \INIT_B = 256'1010110101111001000100010000000111001010010110000011001111011000001101011111110110010101010001110000110011101001111010101000101001101001010000101010011100001001111001011010111100011011100110111000110110111000101001000010111001011101111001010001101101010010 +Parameter \INIT_C = 256'1111110101010010000011011111100000100000001001100100011101001101100000001100010001101101110000000011111111110000110010111000101100101010010111110101100100111100000111111110110011001111100010001000110011110011001110111110110001100100010111100101000110010101 +Parameter \INIT_D = 256'0011001011000111011011011100000000010011001110001110100010110100011011111101011001100000010000100110111011111011000110000111110010100000110000001100011110010111111101110001100011001011001010101101010001110111000101101001111101000110101111010101111110001111 +Parameter \INIT_E = 256'1000100101010000000111001100010001100011101011001001110101001111010001001000010111011110110101011000100101111000010001010100100010110100100101011010111011010000101110010100010111100010001011000100100101110110101111001100111000000011110001101111100010101111 +Parameter \INIT_F = 256'1000000100010111010100101101110011101000010101111101000110011001010000011001111111001011110001011011100001011100100101010101000001000110100101100000001010100010001011101000101000110110101010110000001010110011100110110011001100010011111011000010100100010100 +Generating RTLIL representation for module `$paramod$aec89bda2bb51457738348c30887ba494f48a61a\SB_RAM40_4K'. 2.26.27. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. -Parameter \WRITE_MODE = 1 -Parameter \READ_MODE = 1 -Parameter \INIT_0 = 256'1100000110010100101101110101000001101101011000011010000011100101000110011010110100001011111001000101110111110110010101101110000001000100101001011101100010011010111111001100001110111011110110011010000110110001101010010000110101000100101111010011000100111100 -Parameter \INIT_1 = 256'0110110010110111011011110001110010110001010000000001001101110000000110101001011111011010111100101010011110000011001110101110100110001110011010100010010000010011101101100001001000011101110111101000011110101110011010000101000101010011111101111101111010111010 -Parameter \INIT_2 = 256'0010000000000011110111011000101100011100010101110110101001110001111010011101010010101101000011100000000000100100110101101001100000110110101110001110001010000101100100010010001010010100011011101010101001111000011100001010010110010110010000010110101111100010 -Parameter \INIT_3 = 256'1000001100000111101100011110101110110111111010001001010100001000000110100100111001100101100000010110011101100011100101101010001101001010000111011101000011100100000110110011101110001000110011000010011100101111001001110011011110011001001111110100010011010000 -Parameter \INIT_4 = 256'1100101100101011101011010000110100001010110001100000111110000010011111000001100111111111111111001100001101011111000111111110000111000011100100011001110101010001011101100111000000001011011110100111111101111011001010001010011000010010010001100001000110101100 -Parameter \INIT_5 = 256'1111111001000010010100000110101101011011100000000101011111001001010101110001001001011010101011001100101011001110101111001001100010101011100001001100011010000000111111110111000001000001001011010110100010010100101110110001100110001000000010001011000011110000 -Parameter \INIT_6 = 256'1011110100111011101100000000000101010010010001010001100011010101001101010011100111011011111110010000110100010001111111100101101010000101110111010010111100000100101001101101111100010011000010110000001101101011111001011111010010000011010001111101010000001001 -Parameter \INIT_7 = 256'1101011000101101011010110110010000001111001110000101110100000001110101111000101100111101000100011110001101100111010010110111100111110011110011101110011000110001000011100101111010110111101010101111001101000010101111110100100000100110010011110111111001000001 -Parameter \INIT_8 = 256'0111000010000101010010001110111011101101111011001100110111100110101010100011110010101110111101010110100001000110000010100001000011011000100110010111101100001001110010011101101000100000001111010001000111000001111111000101000011001110110110100100000000001001 -Parameter \INIT_9 = 256'1011011111001001010100010011111110100010001101010000100011000011110011001000110111010100000001101101001000001001010010010011000100001110000100010011011011011111000010111000010110100110111000101110001110100100100000010111010011000001011011111110110011100110 -Parameter \INIT_A = 256'1001011101100011110010100010010001001101011011110001001100110001010101001100101000000001100110110001111101001100010011001000011010101110000001000100000111000101000010001000111110001010010010100101111011101000010111101110010101000000011111001010010011100100 -Parameter \INIT_B = 256'1110001010100011011100100101100010010010010111011111110110000001101100110101001001100101000110100000010010100001100111010100111110001011001100111100001010100000101101100101100001010000100101001110000010010010011001001001000111111011111100001010111001011101 -Parameter \INIT_C = 256'1100000101000010011110110010010111110011010010100110001001110001011110111111010101010101000110111010100100001110100000000010101101011100110011001010101111100010101011001100000000101010100111101000011101010011110110110010100100101011010111111001100001100101 -Parameter \INIT_D = 256'0010011000100001111011010011110000000111001011111000000010011001001000010111101001111000000001101101010011101001111011001011101101101111111010110100011000001101010110000000010011011001100111101001110011010011101100101010111100011101011010101010001110110000 -Parameter \INIT_E = 256'1101000110010110100111110101000110100111110000101001110001101110100110000001110000110101101000001001110101100100000110100100110011110101111000011101000111011100101101001001010111111000011010011010011101111001000101110000011100001101011010010011110111010000 -Parameter \INIT_F = 256'1001101111011100110111000111111010000110011101000011101110100001101101111000110100011100101000001001000110000100001110000011010111111101100010001010001010010101011101011001010110000001001101111010010001111010000001101000111000011110010111111001110111110110 -Generating RTLIL representation for module `$paramod$be6a86056d534463ff3ebe0d909e46f73bcf2843\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1010110001101001011101001010010011011001110001011100110110101011001000111111001000101000010101010000100101010110000100111000100010101010001000001111000110111001001101110111100100010011000100110100111010010000100111111010100011011111111011000000100101010001 +Parameter \INIT_1 = 256'0001011001110000100101010101001010000101011001000010000001101100011111010011000001011011110111100001010111100110011011110010110011100111100110111001010000011100101110111111001111001011010100000111110001011010111100100000010001001101001100111011010111110010 +Parameter \INIT_2 = 256'1011100100011011111010101100111001110111100000001101011111001110111000010010010101011010001101001001011010000111011100101011110111100010100111111000101000011111101101111100101100100000010101111101101101100011110100111101111101011001111100010101111101001111 +Parameter \INIT_3 = 256'1110111010100100001011011010100000110000110000000001110110101100111110100001010100011011110111001011101000001001110000011111110110100100010010101110011100000111101110111101010010011111100010011011101000100110101010000000001001011111000111101010100111110010 +Parameter \INIT_4 = 256'1110011000010011001111001100000001100111001111111111001100011011101001100100000101011011001000111101010011000010001100011010011101100010010011011100101001101110101111011000110010101001010001111111011000101111001101110101001011111100101001101011100101111110 +Parameter \INIT_5 = 256'0110010011001010100101110101101010100100000111011010001000010101111101100010110110010111010110011110111011010001110111110111001100011110001001001101111101100001111100101111011011000000110010001011011010101101101000010000000000101001100001100110000000100100 +Parameter \INIT_6 = 256'0010101101101010011111101000010101000111000110101001010011111010011111000001001010100111100000100001100110000011011101111110101100101010101010011000011111001111111110111100001000110010010110111111011110010111101100111110101100101101100000100010001001100111 +Parameter \INIT_7 = 256'1100100110111010100001110011010010111011101110001001100000001001011001010011111011011101000001100100111000101011110100110000101001010011110011110010010101010111000001011100101101010001100110001110110100001010000111101010011111111001010011101101101101111100 +Parameter \INIT_8 = 256'0110110111101001100001111111001001110000100100000100101111100011000100011100000011011100010001111000010010011010100101011001111000110010100111111011010000001000010101011011111010011100001100110001110100100011001110001111101010011110101011101000011010010110 +Parameter \INIT_9 = 256'1110111001001110110001000011111100110011000011011001010100100010110011011100011011111000101110101001101110001100100000110011010100110100011101010011010100110011010101110010110011101111011001011000111111001110100111101110011101001010110011011111111111110000 +Parameter \INIT_A = 256'0111101000100001101001110001111110010110011001001100100100011101010101001011101101100000111000110011011001001001110010011100110011111101110010000001101111010010110011101000111100101010111000000101110000011111110111000000111100010100001110010011001010010001 +Parameter \INIT_B = 256'1101001111111001101111100110111111110110111101000111010000100010000110010111110010100000000111001111101101110010001111101001000001101110001111110100100011111000001111000111011000111000011001001000011101011010000010101000110110000001100110010101110001110000 +Parameter \INIT_C = 256'1011011111100010000111011001100000000110011101111101101000011110111010101010100011001111011011011000001011000000110000000011110011001001000111000110101110011011011101011110011001111000111010111011110010011101110110010110001011000110100111111100101110010101 +Parameter \INIT_D = 256'1010000010110110100011011000110001000000011001101010010001111111110001011011010100110111001101000100111110110010100100000110100110000000011111010010001011111111101111111011111000011111011011001000010000001001000011001111101101110101110010011010111000100011 +Parameter \INIT_E = 256'1000000011100111001001101001110101101111101110101111111001100110001100101000001111110111001000110010010100101000111011101110111001001001011010110110111111011101110110001010100000111110110000001011000011101101011110100010001100000101100010110011110110001011 +Parameter \INIT_F = 256'1101111111111000001110100010010011110110100110101010111001110101110101111101000001000101010110001101011111100101100101000100011100110011000100010101101110001100010110100111100111010100011111001101101111011001001011010101000110001111011100111111001100011101 +Generating RTLIL representation for module `$paramod$36cd72d0a2aa01f65f2e032aecaf806435b24292\SB_RAM40_4K'. + +2.26.28. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1100010111000001000101101010000100100101001111011111010010000000000100001111111110000001100010001011001110010011011000001100110101111100110010110000101111001000111111000001001100100001110000010000011110111101111000110011001100001111100010011001100101111011 +Parameter \INIT_1 = 256'0110100110111000100100011011010100010001110000000111111000101100011110010001111010101011010111010100101101110111110111000001011100000100000100111000111110100000000110000000010001100100000001110110010001100010111000101100101011000101011101010001001100110001 +Parameter \INIT_2 = 256'1010011101100111000001111100110010110110110110011011000000001110011111000100100010111000101101111011110000101110010100001111001101111101110001010000100110110101000011111011011110111111111000010100010101101010100111011010000100111100100110001101111110000011 +Parameter \INIT_3 = 256'0010011101111011111101111001101010001100100000100101011100000010110101000101011000000001110000110110010011011000101010110001100110111110001111111110011001100011111010110101110010100101010000010101011110111011010101111011101101001101111111100001011110001111 +Parameter \INIT_4 = 256'0010010011011001001010011000011010010111100011111111101100000001101111110000101101000101101010110011100011001001000000111010110110010110001011011000001111100100010101010010111101101111010101001001010011001101000100110011111011110101001110001010101101100011 +Parameter \INIT_5 = 256'1100100111001000001010111010110100011010110011010001100100010100011110000100111000110011111011000111111110111010100001110001111110011000010001000010101001010100000111010010101011101101101011101000111101101001110000000000111000011001001010110100000101000011 +Parameter \INIT_6 = 256'0110101010100000010010111101110101110010001100010101010101000101000010011010010111111110001001110001000010011100000110011110010000001100101111101111100101111100111000110111110111000111101110010100000111000111100111100000010110001000001101101101010110101101 +Parameter \INIT_7 = 256'1111100111100111100001011010100110010100110000111101110100001011001001010110011111010010001010001100110110000000110101100101010000101011100110101100110100011111000100011101010111000101111100000101010011010101110000110010111010001100100010100110101101101100 +Parameter \INIT_8 = 256'1011100010110000101011010101001110010011111110110111111000100011111100010011111100111101111110100111111000101011111000000111111111111101101101101001011111110110101011001110111011111101111100111100110000101011011000100110011010000001110010001111100111010101 +Parameter \INIT_9 = 256'1101010011010100110010010111001111110110110011101101010110111110111011011000100001110001110011010001000110000001100011110010101001000110111100111000101110111101000111011111100111110101011110010011001100100011001111110000100100111110101101001101001100101110 +Parameter \INIT_A = 256'1100001111011101101001010011110011101000011000111000100011100000110011001100111110101111011100110110110111111110111100001110101001011111000001101001000101100101100101101001111111101001111100110100111101100001110001111111010010010011001110011100011000101010 +Parameter \INIT_B = 256'1110111011000110111101101000011110011001010000001011011101010011000001111111000000011011110001111001001100011000010101101001010001111001001101010101110010101000010000110011010111100101001101100010011110010101100110101011010101100101111011011111010111101000 +Parameter \INIT_C = 256'0111111010111110010010110111111011101110101110100000000010001010010001101111001010101010000001011001010100100001011001011110000110001111100001110110111011000101001101001100100010001100110111011001111001001010000100110100110000111001100110110001111000100010 +Parameter \INIT_D = 256'0010001011010000110001111111110001101011110100100001001011100100001110101110000101101101111101000010101000001001001011101110000110110111111001100111100010010000110111000101010101001010011000101111101111001110110010011010100100111001001111101010111111010111 +Parameter \INIT_E = 256'1000100100011011010000101011110111101000101101101110000000011011010111001110110100110000101000111111100111100110101010010100101100100010111111100001110111111101011101011110110100010010010010000000101101001110000110001100101000100011011101100000100111001100 +Parameter \INIT_F = 256'1100001011001011010111011100000101111101010001001111111100111110011000111111000011001101001111100010101100010011111001010001000010100110010000101010111010110111001000100010111110010110011001010101101000001011001111010000001000001011101101000110111011011000 +Generating RTLIL representation for module `$paramod$4634a39a6cd62b13e97c191bc48a5bf27335db8d\SB_RAM40_4K'. + +2.26.29. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1001000001100001111011110001001101110111011101001010110000011011000000110001000101000110000101100011101111000011010010001001110000110101010111000010011001011110010111110011100100110111110000010001101001111000011010000101001010000001100001001101100111000011 +Parameter \INIT_1 = 256'1011111100000010101100110101110100111010001001011101011100111100000010000100100011010100011000000110010101110000000100101001111110101111000001000101100110000011111100100011010110000111110010100010000000011000011100001110001011001011011111101001100101111011 +Parameter \INIT_2 = 256'1011110000011001000100110000001000010010101010001010011110101001001111011111000110111110010011111010101010101001101110011000111111011010110101011011001111010100000010101101111100101100100101110111011001000111111101101101010100000101110001101000011011111101 +Parameter \INIT_3 = 256'0111000000101010001010100011110011110100100100101111001101001001000110001001100010101110001100011110110100000001000011011100001010111010111110101010001101110000100101110010001000000010000111100010010100101110110010111101011001000110101010110011111100101111 +Parameter \INIT_4 = 256'1001100101111111011100111000101010111100011010110100010011000111110111000011100101010011010111010001100110001011001111111000101011011011101100110001010011100011011111111100100101110001001010010101000100111011100101000000111011001001010001000100101111101111 +Parameter \INIT_5 = 256'0011110100011101110100010111001000110111111100110001110111110001010100110111111100111001111100100100111001110101110100111000111000100111011111100000111101011000100110010001100101101001111101000000110011010100010011101011001000110010100000001011100011111110 +Parameter \INIT_6 = 256'0011110100101100101111111000111101111110010000001001100111000110111101111000000000011111100101111111100011000100111000010011010111001011100111010111011010110110001101111110100001101110110000000100000010000110011011111000011010110011101010101001011100011110 +Parameter \INIT_7 = 256'0101001100001111101000001000100100101000100001011010110110111000101111100111110111111000111011111001101101100000010010101100100100101100000010110010110100001011101011100100001110001000011001111010000110110111001100001100010111100010101110001101110000110101 +Parameter \INIT_8 = 256'0100110010111111001011000010111011110011001101100000110101110111001000000101100101110000100011100011011101001001101101111000110111110011100100111110011010100001001101000110011111000000100000010000000010101010011111101100000110011000010010001101001111101010 +Parameter \INIT_9 = 256'1001001011000011010111110111110100001011001100100110000110111111010011110101010011110010000111010011100111100000010111111111111110100100110100101000011101010001011110111110011000010001111111010110110101100010100101100010001000111111111010110010100000111101 +Parameter \INIT_A = 256'0000100000100110000100000111100001101000110011011011011011000100100011101011111010100100000010010111000111111010101101101110100011010110011001010011100011100111000000100011100001111100101010100010100111001111000111000010100111111100001010001100110001100000 +Parameter \INIT_B = 256'1110010000010110111011110111100111011011110100000000101011110110001111101001110111001100110111000000101110000101111011011010110100011010101110111001011111001101011000011100110010111001011010000010000011000111011111010011100000101001101110111101101010010000 +Parameter \INIT_C = 256'0111010101010010001101100111010011101111110010111111110010010011111011100101000101001111110110001010000000111111100011101111111001100000000001111110001000000100010110110001110001100110110110011101100110101101110010010000100110101100111101111111110010101010 +Parameter \INIT_D = 256'1001010010010100111111100000111010010010010111101110001011001010001111100110000100000011001000110100111001110111100000100101111110001010111011011001100011101110011111111101011100101000111011000011100101100010100100101100110100010111010011010100100001001001 +Parameter \INIT_E = 256'0100011010100000010110100000011100010011000001100000001110110011000000001101110010111100011001100100111100011111010000101011110001101110100101011010111100010110011111010010010110010010010111000001011101101110011111011110010101110100000011000010011010100011 +Parameter \INIT_F = 256'1011111110010011111000101101000111010001011101010001011011110000011111111010000000100011001101101001110011100001011011011000001100000110000001010010000110011100111000000010010101111100100100100000010101000011101001011011000110111110101111101000111010110010 +Generating RTLIL representation for module `$paramod$7340fe4b074e1d328bd9dde30b4a8f28ee267b25\SB_RAM40_4K'. + +2.26.30. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'0000110110001000001110001101110000011100011011010100010101100101101000111111110110001001110010000110110111001010010011000000100010000001110001010100001001010110001011001110001101100110111011011110000010011001101110110111100110100111001001011000111100010100 +Parameter \INIT_1 = 256'1111100100110011111011110011110001010100100010000000000000010100101110011101001111110000100010100101010010000111100010101010000111110110011111100100010010100011001111000100111010111111111011101111111101110010001110000010110110000001011101110110101000010110 +Parameter \INIT_2 = 256'0011000011000011000000101010011100111001010111111011110010000101010100011101100011111111000001100011100101011000000011101110000010110010000011001000010111111001011111001010011000100011000101100000111001011000011101011011100101000000101000010110010011111010 +Parameter \INIT_3 = 256'1010010110001111100011101101101110111010110001001000001000100000000101111110001010101100100111010001110011011111010100001110111100011011101111011100110100111100100010100011101100010111100011000101001111100111001101011010011101110111111011110001100001111100 +Parameter \INIT_4 = 256'1100111011111111110100110001100100001101010100101001010000011010100101101101110101110111110101001100111101001111110100000101100101011000001101011110000010111101100011001000110011000110100110101100111001110011011110011011011010010001110110100000011101000000 +Parameter \INIT_5 = 256'0110110010101010001000100000111111010000010001001001001001011001111110001101001011110111101110000111111111011110110010101001100011000101010100000100010000110100111110001010010000111011110011011000010100011100100111100110110100001010100000001011010011001000 +Parameter \INIT_6 = 256'1111001010110011000110000010110101001101000100010111000100110001110111100001000111110010010110010000010011010001000110100010111010011111010010011001000111011000101011111011001100001110110011111000011001111011010100011000100010100001001000110110011010101001 +Parameter \INIT_7 = 256'1101101111010001110000011011000000100110111111000101010011111001010001100000001100010100000110011101100100000111101001101100010111011011101101100000110010010101000010110101011011001010101100100001000010101110011110101101000010000111100010111110100011001101 +Parameter \INIT_8 = 256'0111010110010101111111111101001000110111101011001010100100010010100111110001000000010101110011010010110100111110100101001101010001011110001000011100001000010101000110101100111010110011101100010011110000110101100100000111110011101110011110100000101010100101 +Parameter \INIT_9 = 256'0000001000101101011010110000011110000101111011011010000001011111000111111100110111000101010100100001111001001101111000001011010110111100111011010110101101011111101111010101010110101100100011101001000111000000000010010000010001110011011011111100000111101110 +Parameter \INIT_A = 256'0011010011010011111010010100000000010111011110110100000010001001000110101000111010101110111001111111001100100100100111010101101010001001011011001111000101111101001111111001011100010110000001101111101010011000000000010010000110010111001110001101100100110000 +Parameter \INIT_B = 256'1110100011010111011001100001100010011011101001011011110001011101000000001010011001101010100000101010110011100001010010111101001110100000000110110111100001110100010101100011100011110101000111000110010000101110010110000010010110000000100101001100001101100001 +Parameter \INIT_C = 256'1001110000011010011100010110100110111110110000100010000010010101111110011011010110101110110100110101011100001010010101101001011100101011001101001100000010001010100100000110010010010111011011101111000011000111111001100111110110100011010000110010000100111101 +Parameter \INIT_D = 256'0101000010001001011110110011110001011011011111110101011011000001011100101111101010110001111001101010101011000101110001101101111111111110100110110110101100111001010100010001010011110111001111100101100011000011000011111101101101001110001000101001110011011100 +Parameter \INIT_E = 256'0000110110011110011101000001000101101000110010100100101110000110000011110101010001100000101010000111100110100100101010110100010011011000010111011000101110111000111111011001010101111010111001010011001000000001111001011101111100011110011011010000000011110100 +Parameter \INIT_F = 256'0100011100111000110101110001011011011001110100001011110011011001000010110001110101010100010010001100100101100000110101011010110111000010011001000011000101110001110101011011010100111001000000111011101010011110010111111011001011111111111000110001110111011010 +Generating RTLIL representation for module `$paramod$0ce62515d3067330f88e04b97293dc0659fa9113\SB_RAM40_4K'. + +2.26.31. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1000001001010001001111011000110100111001010001101110011101110010100100101100001000000011110110101010101110110011111010110100011000001010111010101000101001100001001001110110100000001111001001011010101000101111111110001100110000010010110000110000110001100011 +Parameter \INIT_1 = 256'1001011010101011001110000010000101100101101011001011000110110111101011100110000110101111011011110000111011010100100011110011101001110001101100101100010000011001010101000001100101000011010101011001101001101110010110011111110111111011100111110011111010010011 +Parameter \INIT_2 = 256'0000000001001000001001100111000000011001000000011110010101111011100101111110000101100000010001000011100010011110010101101111010101111110000100111001111010100100010001000110001010010001100001101110100101100111011000101100101010010001001001001111011100001110 +Parameter \INIT_3 = 256'0111000000110100111110111000011010011011001100101000100001110000011011011111110000001010000001000000110101001110011110100001011001010000000010011011011100110110111010000100101100010111001000001011010000000010110010000010101100101000000110111010111100110101 +Parameter \INIT_4 = 256'0011010001010110110111000001010001001111001011001011001011001000101110001010010101111011101010111010100111011001110001111100001010110010010010011111000100011001110001010011101100101001111000110100010101100111010010101000001010000001111100001101101001110110 +Parameter \INIT_5 = 256'0000010101000000100011010010011011101110111011001100011111001000000100001010110101111110110000100110101101100000011100101011110101100110010110001000001011100100011010010000001100101100101111100110111010010101011110000000110100011000001001000110001111101111 +Parameter \INIT_6 = 256'0110000010010011001000000010110010001101010010000000011100000101001011000001011111001011110100111000100010111001110110011011000110011111000000010011000011010100001100111000000111110100100000000100100101011110001101110100101101111101100000000011110000001000 +Parameter \INIT_7 = 256'1111110011000010111011011000001000111100101000110100100011100100000111101110100000100100000101011010110110010110111000010001111110000111000100000110000000001011101101010100000100001010001000101101110100001000010001010100000011111001111101000111100111111000 +Parameter \INIT_8 = 256'0101111000101000110111110101101011111111101101100111101100110010111001000100101100010011011010110011010111110000111110000101100111101110010001010101100000010000110101111010010101000100100011110010001100011000001001011010010110111111101100010001100010001100 +Parameter \INIT_9 = 256'1101111101111000110011001101001110100100111000111000111110110100100111101000010001111100101110001100100001110100100110001110001100100100010011011111101110010001010000101010010000110111110100101100111001111010101101011101111100000001100100101101001101010110 +Parameter \INIT_A = 256'1100110111101010111101001111011001011001011101100011010011100111111111111100100000011010000000010010100101111100001000100011100011100000110101001010111101110100010111100100000001000001111001001000111100110110001101111001111000110001101110111101101101011010 +Parameter \INIT_B = 256'0110101010101110010101011010100110111001001100011110111001101100000110010001100111011100100011010001111011001110010011010001010011000000010001110011011011000010101110011100010100110110110110010110111001000101001101100001110100011011101001110011010111001001 +Parameter \INIT_C = 256'0010100101011100111010001111011011000001010011000001000110000111111001110001011110010000110010011010110000111100001100001100101011101111000110001000101100100110000111111101010000011010101111010010100111111001001010001101001011011001001010011000110100010110 +Parameter \INIT_D = 256'1100000000000110000000000111001111011100101011100111011000100101001100011110001111000100011001001000111110010110110010100010111101010111000111101110010000100100101101000010000011011110110001010010101110010101001010100111001000101101001000101110011011111011 +Parameter \INIT_E = 256'1000101011100101111010011010010111011011101100001001110100110010111110000011110110001010110111100011000100010010100010010000000011000011110000101010001101100101101010100011100101100001011000100101110110001111111011001000010000111001010100100100111101111101 +Parameter \INIT_F = 256'0110001110100101110111011110111110000101111000111100101001110010111110100001110000101010100110101101101010011100010110000110011100110010010001001110011000110101010100101001010110100000110100110001010111001111000100101111100011010101000100011010101110111111 +Generating RTLIL representation for module `$paramod$60b3dfc4f309aea3ada8285e1d40951195a0353e\SB_RAM40_4K'. + +2.26.32. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'0000100000100101001111011000001101110111111000010101110001100000000010100100010111000010000110111000101110010101010111011011001000100001111011000111011010010100011101111100010011101110000101110101000010110001001100101101010101000001110100001010100010101001 +Parameter \INIT_1 = 256'0010101110000100001011111011101110010100100011011011110011111011100100100111011001100010001011100001110110101011110111100010101011100111110101100111100101110000011100010011101010000011011100011010100110110011001001100110010000011100011101111011001100000010 +Parameter \INIT_2 = 256'0111100011111000010001111101000110010011001111001100011000100010001001100010110111001111010101010001100001000000111011011101111001000101100111100000100010000110110000000000000101101001001100000001011000101110001100001101000001000101000101101001101000011111 +Parameter \INIT_3 = 256'0111010011001111101010000000100111100101011111111101100110110101111011100000111000001101001100010011000101101011101011010001001011101110001111100000000000010100001110101010101101100010000111001101110100001011011111011001001100101010011000011000110100101000 +Parameter \INIT_4 = 256'1000101011110111000101110001110111100110010101101100111110010111100101111101110010110011001110110111110001111011000110111010001111011100101100111000011111100001011100011001011010101110101010110000101110111111111111100001110000011100101011100001010011110101 +Parameter \INIT_5 = 256'1100011110011110011000000001010010000010111000111011000101010011100110011101101111001110111110100101001011010010101000110111000010001110111001111101100110110110011000110100101111011100100100011101111010000000010010101011111101110110010110000011110001011100 +Parameter \INIT_6 = 256'0101001101111101101100001100110011001000011110101001101011100000000100011111110101100010101010110010111100100001100001110011111010110101000011011001001110001011011001010101011000011000001001111101100001001011100101010001110111010100111101110010110110001000 +Parameter \INIT_7 = 256'1111010111001010011101100101001110011011101110111100111110011101110110011110011110111011010111010000100010011011001100100010011110101100110001111100000101100110111110110011011001110101001001110000010001100111001001111000011101100101000100101000011110001110 +Parameter \INIT_8 = 256'1100010011011000000000100100000010000011100101011110011110110001100110100110001011001011000101100001011010000000110000101000101010111110100111001100001011001111100101101100000110110100111101001001110010110101110100110100110000010011110101101100010011010100 +Parameter \INIT_9 = 256'1011100110110111100000000000010110000100000110101111011011001100010111111000100010011001001101001000010001110010110010100011110111000111111111101110010100100110010101101001101101101001011101101111010001001111010010000011010111001000011010010100011101011100 +Parameter \INIT_A = 256'0011110111111111010011100110111001111011001100010111100001110011001111011011100000100100100010011100001101001111111001111000000010011011010101100001100001111101111000101010000001010110100110101111101111011110111001110011111011101000011111001100010110011000 +Parameter \INIT_B = 256'0111110011101110100110001111001000010100100000101101111110010101101010000101111111101001000011010101000111110100000101111011110100010110011101111110110000000110100100010000011000110000010010001111100000100000110000010100010010011010110111110010101100100110 +Parameter \INIT_C = 256'0111000000110001000111101100101111011000011010110000110001110010010010100100111100000001110111011010111010000101001110000000010001000011000111000011101000111011110110111000000001100010011001100001110101111011110010101000001110000010000001110001001011101100 +Parameter \INIT_D = 256'0100010100000010001001110110010111111001010001111111010011001000011010000010010110111110110010000110110111101000101011110100010000111011011101110010000101010110101001100010100001011110100000011010001111000000010101001001001010001011100001010110010000000011 +Parameter \INIT_E = 256'1010100010010001111110111000101100011101000101110000101111001100010111101101000011101101100000010111001100000001011100100101111000110001100101010110110000010101000100011101000001110010111010001100000101101011111101011100001110101011110111011001101100111101 +Parameter \INIT_F = 256'1000111011100111011100110001100000000001001010101010001001011011101100011010011111111011001100001000010001101101010000101110010001000011010001010010000010011010000111011111100100110000101001010011110100000000000100011010101001001011110001101011011100000101 +Generating RTLIL representation for module `$paramod$c74655a14c7cc6adeb7816abc7bdb3c44a7ba15e\SB_RAM40_4K'. + +2.26.33. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1101101100001100001010101100101100101101111110101010011011100010110110000101100100011100010011001100010101000101000011011000000100000101001101000010001100000001010100110110111100000110010111111000101000000110110010100111011000011001100111001000010010100011 +Parameter \INIT_1 = 256'1001000100111110000110010011101011001110010001111010110001001001000010000101100110101011111010011010001001011110100011000000111110001110010111000000000011000110011001100100101101011000010100011101011001010000010001010110001000110101101000010000101101110001 +Parameter \INIT_2 = 256'1111000011110010101010110011010100010000111110011111100101100010001101111011011000101110101110100100000011011000101010111001010110111100000110110110101111100010111111100101110101101110010010011001001011100010010000011101001111011010011001010111100101000110 +Parameter \INIT_3 = 256'1011111011001100000011100000001100111010101000110110111010111001101100001011010100010101110010101100100110100010000000101010100111111001000000001111001100000001100001000110110100110010100000000100110000010010101000000011111001100110111111010010010101111000 +Parameter \INIT_4 = 256'1010011100110000010110101101101000000100011110001111000010100000111110010010101111100011000011110010001100000100001110000011000101100111111001001000001011010001100011011000101101111100100000001100110101000111100011001001001011111100000111011001100011101001 +Parameter \INIT_5 = 256'1110101110101111100111010111110111011001001001011001000100011001101010011100100110001001000101011101101110001000111001101111001110000010100111101000101111100100100110110000011100101001011110000010110100010110010010100111011100010010001011000110111011100111 +Parameter \INIT_6 = 256'1110011010100011000110100000011101000001010010011011000011111001110011000100101111100011000010010010010011001000001011110100011101001110010010000011110001100010110111100010011011010000001001011110000000101100101000111111011000001110011001000001001110101101 +Parameter \INIT_7 = 256'1010101100011001110110010100101011011100110100000110010111111001101101110010100101100000101110110011101111111010111000011011000011001111001010110011101110110010101011001101000010111110110010111110101101111011110000101010001101001000111011100001110111001011 +Parameter \INIT_8 = 256'0101000100100011010100011111100010010111000000100001001110100000110001101000011001110110011011101011110101000110001111000110110010011011011010011011100101000011111100110110100000100100111100101001000001100001000110110011111101100111111111000111110100111100 +Parameter \INIT_9 = 256'0000011001110111100010011000100110110010101100101110010001010000101011111010000000111011000000010110011101010101111011011110110000010100001110001101010000111111001100001100010011000010100101101110111111110110101011100000010011100011101110000101011111010110 +Parameter \INIT_A = 256'1111101001111101010111111001110011011001000110000000100001110001111101011100010110110000011101001101100010000101110000011011000011000110100101101101100110000000001100001111100010001110101111000110010101110101111000010001100110100101100110000111111011111010 +Parameter \INIT_B = 256'0110111100101010011000011111101110011010010000011110101100101011101100100100011111111001011101101001110011101100111011100110000110000010110011001011111110011100001011100101111110100101010000010111111101011010111001011011011011000111101001110000011001111010 +Parameter \INIT_C = 256'1101001101110100000100011011011110100011001101111011000110010010110010010010011101100101000000010110101001111010111011100000100011100001011100010111011010010110001110101010001000010100001110100110111001101100000101110110010110110100011110100110011000111101 +Parameter \INIT_D = 256'1001010000101110000101110101001000111000010011001101011000101100110111000011101001001101001001111100011101000001001000111001011000010001010010100010100110001000000000011000000110010011110101010110101001101001001011101010111110110000010100010100111010101010 +Parameter \INIT_E = 256'0111011111100101111001101010000111111010010001100000011010001101101100100110000111111100010011110101011010000101110101000011110111010011111011110111001100010001000110100111101110001011100110110101111010001010000101000100000101100100111010001100000001100011 +Parameter \INIT_F = 256'1101011010110101001011110111100110110010101101001011010001010011100001101000101100001100010010011000111010111101100110001010011100010111100010111001011000000010001101011100101110011010101111001101101010011110000001001010010011001100111000010101101011101101 +Generating RTLIL representation for module `$paramod$7c21166ea4c3b2a9d7a87502f98118a3ea2bbb02\SB_RAM40_4K'. + +2.26.34. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1111111100101100010111011100010001011111111000111010110000101010001111110001110011010010110100101010100110010101001110101000110001110010100000000000011010010011100010000111111000100010100010000011110001001110000100000000011011000011110110001110001010001111 +Parameter \INIT_1 = 256'0001111101011011001100111010101000110110011111001101101101011011001011011100101100001110001111110011110001010010001000000101100101000100111010001101011101110010010110100001000011100100101111011101100101100110101010110000010111000010110100110001100111100101 +Parameter \INIT_2 = 256'1110110001011100110111010011101111000011101101001101100101000010001001000111111001001010110011001010011011011101000011000111111110010011101011011011001001010111011001010111000000100001000101100110100100001100110010101010110101101000000000010111110010011011 +Parameter \INIT_3 = 256'0110010000110111011100110110100110010000011111001111011000011011111111100101010001011111100001101110100010011100101001110000101111010011100101010101101111101101100100100000100010011111110110101001011000010110111001111110010001010101001011111110111100001100 +Parameter \INIT_4 = 256'0101001011010101100000011001011101101010111110110001010101110100000001111001111010101000000111110111000110111011111110110011010110011000111011010011111001111110011101101000010000110000011111111100000010110001011111011101010010010001001101011010111110101110 +Parameter \INIT_5 = 256'0010100110010001001101011110101110100110001011010100001010111110110010011111010001110011111100101011000000011001001010001101000010110010111011011001100101011110001110111100101101010010101110101011111101101010010000010111001010011011100001100100011011101001 +Parameter \INIT_6 = 256'1001011000110000101011011100011011111000011001111100100000000010000010010000000101010100110010100000011000110011100100010000101010101110101010001001101000101100111000110011000111110111010000111101010011000010110110000100110110010101001110000000110101110100 +Parameter \INIT_7 = 256'0011000001011110110000010101100100111010101011001101000111101111000100001000000010110110110011111000110110100100111000101011000100110001011101111001001000111110111101011010000010001101011000111111100001111110000101101000001011011110011011010100110110000010 +Parameter \INIT_8 = 256'1000010001110001101010000011111000111011011001011010011111100011011111000011011100100011111101010010101100110101011101010110011101100001110000001110000111110011111101001110111100101111010100001011101001011101000111101010000001101110000001011010111001010111 +Parameter \INIT_9 = 256'0100001000010101000100101111110101111010010011010110100000010111010011011001110111011000111100010011111001000001111110000010100101000110010101101000011100110000110001111100101001001010100001110010011101111001101011111001101100111110110111000011000100000011 +Parameter \INIT_A = 256'0010101010010011111001011011010001110000001010010010111010100111001110101111100101100100010100010101011100100110001001101110010100111100001111110001001000100101011000110000010100010101100100110001101010010101101010100100100011000001001001010101010000100001 +Parameter \INIT_B = 256'0000001110011110100110101010100001110000011111011001101001101100001101010100111011110010101100001101001111001001101011110000010011010100011001111110101001010110011011010010010000000100101000111101000010101110001110000110001011101101111011000100110010110110 +Parameter \INIT_C = 256'0010101110001011100111111010000111001001101011101100000111001000000101100110101110011110011101100011010110100110010100101000100100000110110101000110011101001000011111100000010001000111101001001111110100110010111000001000110111000110111010010010101010000111 +Parameter \INIT_D = 256'0011100000000111011110001001001111111101001010110011000100101100100110001000100001000001110110111100100101111001010000000111101001101101101001101011001001000011011110011110111110001110010100001101010101100001011101010010100010011010110101001011010100000010 +Parameter \INIT_E = 256'1011100000010110011100000001000111000010110111001011011001100000100111001011001111110101100011100010110100000010111000100110100001111100110111111101001001001101011010100011010000011001100000011100110001111010011110001100000001010011101011110000111111011000 +Parameter \INIT_F = 256'1001001001001010011001011010100111001111010010101101100011011101101000101000000101001001100111101000011010101011110010111001010011101000110111001111001111100000110110111110110101001110001100010011010101111100110001011010111001000101101010010000110001110110 +Generating RTLIL representation for module `$paramod$6b1c6f63ba5f996acd858be247d742a022a819f1\SB_RAM40_4K'. + +2.26.35. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1101101110100101100010000001011100100010110101101011000110110000111011010101100110010010100110011000111011100001100111000110011100001010010100110101010001000100100001001110010111010111001011101001110001111011100111010110010010010000110001000010111010100010 +Parameter \INIT_1 = 256'0110101010011011111010001100110110101000010000001110011100100000001011001001001000100111100110110011001110010100011111011100101111011101111110111001011011101011111101010001100110100100110010011111011011011100010010111010011110010110100000001000000111101100 +Parameter \INIT_2 = 256'1100000101000011101000101101101011110110011101011010011011101001010101111001010011000100011010010111110001000001110110100011010010010001101101010100000011110011000011101100000101111000001111100000010010110110100111110011101110100000001011000110101110101000 +Parameter \INIT_3 = 256'0111001110110111101110101110011010010101101111111001010010101111110110100011010100001111101100001101110010001011001011110101000001100001110110100100011001001110111101001110110001011000111101011101000000110110110010011111010110100001011011000101011010101100 +Parameter \INIT_4 = 256'0010110001010111111001011111101000110110110110111110000011110101011100101111111101001101010100111011111011110011001110110010000000101110011010111000011010001101010101000011100010110100100011101010000001111000111011111011101000100110101010001001000101001101 +Parameter \INIT_5 = 256'1000110110101010101001100100000000101111011011110111111011110010111111111001011000001110000000011110011111011000010110110101101000111011111001011111101100110010111100001001110011111100011111111101111100100100010011001010100110110110001000011110101101001101 +Parameter \INIT_6 = 256'1111010111011001010111000011000000111100000001110010010111100001001010100011000110001110111110110011110001111010010001110010110110011100111111101111001011100100001000010111010110011101101110011101011010101111110100011110110001110010010000011010011010011100 +Parameter \INIT_7 = 256'0011110110011110111001100010000100101000011101001110001100101001101011000110111110000011111011111100101000011001011110110111101101010111010011010001001101100111111011110101011100100001110000000010100000001110000111011100100011001010000111100101110011101101 +Parameter \INIT_8 = 256'1000000000011110000111000110001001001001010111111111000110001000011011011101101111000011110000000101000110000101010001101101110001101010011011010110111010000101101000100001001110100011100110001110100000000111001100111110010110011110100101001101001001000110 +Parameter \INIT_9 = 256'1101001010110010011100101100111111011001000101001101000100011100101001110111101110010011000101001011100011111000100010110111011100110111000111011110101100010110011111000101000011100001101101100000110101101110110101001101100001010111001100100000111000110101 +Parameter \INIT_A = 256'1101110111110011010100101000010001110010110100001010101011100010001010111101101101100101101111000000110001111010001010110000100100001010000111111101100110100110101101100101001100010011000111100011110101010010001001001010111101101001111111100101111110011001 +Parameter \INIT_B = 256'0010100001010000101011011001101001001110011110011000100111110111001101010000111011101110001100010001000001011000110000100000010111011011001001101010101110010100100110100001010110101010110110001010100001100100010011110110100111010000111101000011100111010100 +Parameter \INIT_C = 256'1100001000011010110101111111010011001011011001101001110000010001110001101101011110101100000111100111101110111100000010010011100100011010011001110010110011011111111100001001001110010101110010001010110101011110110001000110111011111010101111011011110100010110 +Parameter \INIT_D = 256'1010110000010111100000011110101110110110001111101100010110001110010010101100001100110101000001100011101011111101100101111110100011100110101010000000101010011110111001101000011011111000000111000111100101110101101111000010000000000010111011110110101000100010 +Parameter \INIT_E = 256'1101010110100100100001001010100001010011001101110101101110100101011111111011010011110101000110011000011000100110000110101011001010100001000010011011111011000100011010100101110110110111010101000101000111010110000001110111111011001010100011111000101001110101 +Parameter \INIT_F = 256'1011100011110000001100110111111100011001011101110000111111101010010111011111010110010011110100000101011111100000001011110001100010101010111100111000100111011100001011011010111101010110100010000111110100101110010111100111110100011010100000011011111000100111 +Generating RTLIL representation for module `$paramod$cd87ac4b13c15dbaa504f663e927b7c4b0d5fa2f\SB_RAM40_4K'. + +2.26.36. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'0001101001111100110001111001001001110001001001100011101110000000001011100000100110111111000110010110001001010010010001110011010001000001000101001101000100011111000100011111001111111100001100010110001011011001010110000101100001000011111000000110001001001101 +Parameter \INIT_1 = 256'1111011001110011111111110100111110110000110011000111101010011001110010100011111100110110101011101010001001111110000110111011001110100111110101110001110100110100011101010110101001101110000110000000011101101111110010001101101010000100110010000010101111010100 +Parameter \INIT_2 = 256'1011011001000011000001100000010000101101111110101101111110100000011000001000101110010000111100100111100010001101000110011001011100010111100110010100100101001011110010110011100101010111100101110111110010000011110110100001111011010100000100110110011111101100 +Parameter \INIT_3 = 256'1011000000001011100101011110110111111010100111100101110111010001011101011000100010100000111001000000011001010101011111010010100011111000100100001010011011001100101101111000001001000010101101101101111011110010011100011000001111010101000100101100101001100000 +Parameter \INIT_4 = 256'0111001000101001001001100110011001101010110010101111111000110100111000101000101011000011011101100111011110101001101100111101101111100111010101100010011110110100110111001110111000100110110011010101110101001110011000000100010011100001000001011110010111101100 +Parameter \INIT_5 = 256'0100011100110001010111001100010110110000100101000011001101010000011110110001010100110100100101110011001011001101111101110101001100010100110110111101010011100000010001101000011101011011010111111010101110001110101101011101001000010001001001100100101001100001 +Parameter \INIT_6 = 256'1000100111111001110010100001001010111101101100000010100000011010000010111100011011101110101100101000000111111001000110111110000001011011100011110010011101101110100001011011101111101101011100111110100101111010001000100001010111110000111111100111101001101010 +Parameter \INIT_7 = 256'1100110100000000011001010001100101001010001011100111000111111001101101000111110001000101111010101011010101110101000110001110011100111111010110001011011111011010110110110000010111111110001111001011011100001001111101011010011101111110100101000111000100000010 +Parameter \INIT_8 = 256'1010101001111101001000010101100001111101001100111100100110111001011100000111011100111110111111001000000011011011010001101110110101001110011011111001100001010101100111001101001110101001011110110100010011111010111011100100000011101110000100001100010011111011 +Parameter \INIT_9 = 256'0101010010110001001011111011101010000110010111010101010000001001100000000110010000100001110100001101000000100010001100101101101100110101100000010001100010001100101010000110111100000110100011001001100101101011011101001101000001100110101011011101000001001111 +Parameter \INIT_A = 256'0111010110111100100001100110110010011110110111111111111010101100011010111000100010111000010110111100111111101110100011000100001101010000000011110001000111101011101111110010000010010101010001110010111111001011111011101100111001000110111111000100111111110101 +Parameter \INIT_B = 256'0111100000000000110101101010110110001111011010100110110010100100010000110001010100100111011010100011100001000101111001100011010010011010101000101001101010011001101111001101000010000001101111001110000111011001011010100011010101110100010101011101101111011011 +Parameter \INIT_C = 256'0100000100101111010000000000100100010001001111110101011111001101101111101101011101011111010000010111011000110101101000000000110001000010100110100111110110111010100100010001101110100110010010000111001110111110011111010010000100101001011011101111111101111110 +Parameter \INIT_D = 256'0101110011010111101111110101111111100000001111111000001001001000000101110101010001100111110101010111011000001010000110101010111011101010100001110000001001111011000111011101101111000001110111011010101110100100000011101000100111011100000110000110010100010101 +Parameter \INIT_E = 256'1010100010110011101000011111111111011010010001101101100110110000110001100101101000011010110011100101100010001001101001001111100110110011111111011000111110100000001101011000100010100111100111100010110011010001011111110100111110000100101111000101011011101110 +Parameter \INIT_F = 256'1000111110111111011101111100111001101010111011100001000100111111100101001100001101111000000111100011011100100001011111000110111111000000000100110010001110110010001000001100011001111011010010111100111001000011101110000111110010101111010001000110010011101011 +Generating RTLIL representation for module `$paramod$6b7654ce210c81e8e0bd83db57840a1ffc63b53d\SB_RAM40_4K'. + +2.26.37. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'0110110100001000010010011011111111011111110000000000100000110110110011100010100010000010011001101000100000110000010000100011110101111100011100101110011000101111111100000111111001011010100001000111110000100000110001100110111100000010101101010101101101010011 +Parameter \INIT_1 = 256'0111110011001010110000010111011110010111111010111111111010101111110011000101001100011110010101111101110000110111011101100111001100010110011011001110110011100101101010110010010001101111110001011010101111011000010001001101010001001000110001101001111100100011 +Parameter \INIT_2 = 256'1011100100111001100001011101001101000110000101100111010001000011100011010001011101011101110100001010110100110001100011101010001100001011010010111011001101110000110000110101101010110001111001011010110101110000110110110110111111000100001111100100011101001010 +Parameter \INIT_3 = 256'0101010100001000010010110000101010100000010110000110001000011101110000101100011101010000101101110010011011100110100001110100011000011001100100111010001111000010110110011000010101100111111001111001111011100000101100100100110001111101000001010011111110010101 +Parameter \INIT_4 = 256'0110111001001000111010100000101010000010111011100101101011110111001100101110110010111101110010011010011100101011111101010011101010111101100011011100001111001011001110000100100010101111000101111101000101011100000010010001111011100011010110101000001111001101 +Parameter \INIT_5 = 256'0111111000110111110100000111100000101110010100111110111110000011000011101000000110100100100111101010001101000001000101111010100000000111100101001011111001111000010000010010000110000010011101101001011100100010000111111110011111001101001110111101100110010101 +Parameter \INIT_6 = 256'1001111010101111010111100100001101111111000010100011101110101100110001001001011110110001101000001000111100001001100100011001010101111101000111111001010001110011100011010010001110111001000011001001110010000111110100000011000001011110110111110001001011111111 +Parameter \INIT_7 = 256'0011011100101010001101101100100101111100001110001001100010110111000000000100100110100010001100010000101001000110101100010001001011011110100100110110111100101011001101001001100010011011101011011011101101010001100000100000000110101110111101010101010101011110 +Parameter \INIT_8 = 256'1010011100011000100110000010000011001001101111110100010011010111000011001101010111100111010100111100010100011100011001111111010001010011001111101111100100101111001111000110000010010000100001101101101110011110110101111011110010011010101011110101000010010010 +Parameter \INIT_9 = 256'1111100101110101111001011011100001001011101101100010011011101010001100000111100110100110000100101111110001110010111101110111101101100111101011000100101011100001111010000000111011100101010101100001011111100010001010111010100100100000100001111111001000001101 +Parameter \INIT_A = 256'0110111110110111001010110100011100100000011011111011000000100010011110111000000001111011000000010111100100011011100101010101101010100001001111011001101010000010111100000101101110110011001101001101000101010101011110011000000000011100001100100100000011010110 +Parameter \INIT_B = 256'1101101101100010110100011000010110010000011000111110100011010101000110001111011100101100110010111011110110111001011010101001111000011010100110101010100011111101001100000100101010111110101100011010101000000000010001000100010111101111000000000010001001010011 +Parameter \INIT_C = 256'0011000110110010010000010000111001011010101011000110010011010000111101000001000010101101011011010001010110100111111001111110110101001110111101111011011010101101000101110101100010100011110000101100000001010000111101110001000001111011110000000110011000001011 +Parameter \INIT_D = 256'1100000011000011111100100101100110010001100100001100110101111101001010111110111111110010100011111111000001111001001100100001100001100011001110000011100001111110101111011111111010010011010110101010011000100001111110110101110110110000100001101011111011101111 +Parameter \INIT_E = 256'1111000111010011001111110110100001011011111111011101010001011011000101111110001101010001110100000001110011110111011101000110111100010001100011010110110011100000100011101011010111111011001111001010000110000110110100000111100110011111001000111100001100010100 +Parameter \INIT_F = 256'1110000101011101000000001101011011010101000001001101010001100110111010100110110000111111000001101111001111001011101100100100000100111100000100011011110110111110100010011000010100010011011000010000100010011101111100001100110100001000111110111010101100011111 +Generating RTLIL representation for module `$paramod$03877972951bada2593735348373f9d59049f3a8\SB_RAM40_4K'. + +2.26.38. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1001000000100110111111000100011100100111111111011010000110111101111101011000000101100001001000110001001000001100110000100001001101110110011100100111111000010101111001011100100010110100011100010000100010111110110001100111010100001110100000000111110000100010 +Parameter \INIT_1 = 256'1101000000001101001101001111100111111100011000111101101100100010111010101000000011111001001110110111001001011100010001111100000001100001111011010101010111000100001111010010101001111001101111110110001110010001010100100101010001111011101010010111011101011001 +Parameter \INIT_2 = 256'0001011100110000010111110100110110111110101010010000100110110101100010111001111101111100101111101101101101001111011010111100110100110010010111010011010100110001011010110001000001111100111100101001001111111100000000100101110111001100000100010101000110100111 +Parameter \INIT_3 = 256'0100111000111101000111111010001000111110000001010101111110000011101100100110001101100110100000110100110110001001100111001101111110110110001001110101000100010100001000011100001011001101010100011101110101001101010110100000110000101101110110001000100010100111 +Parameter \INIT_4 = 256'1101001100000101010001110100011000100001000000010100111111111010101011000100101001011001110010101111011001000011111101010001111110101001011001010010001010110001011001010001100010101100011111101111010111010000000101100011110101000010000111001110001101000010 +Parameter \INIT_5 = 256'0001101101100001011101011011111011011101000011111001100010111000110000110001110000101010001011111100101101100101001001011100010011101001111111110010111001010111100100111110111001111101011000011010010111001110000010111001011000101011000010001010000111111111 +Parameter \INIT_6 = 256'1001010100011011001010111000010100101010100010101111010111110110111110010100001000101001111010110100001000111101010001010010111101010011001111110100100011001101101010000011100010001000001011010111110001110111101110001101010101110100101101000001010000111101 +Parameter \INIT_7 = 256'1010111001110101011110111111001000111100101110001101011100111101010001101001111011100000111101000011000011100000010011101100010010010110010001010011001010111000101111000010101001111000011011111101100011010011111000100101101111101001001010000010100001010011 +Parameter \INIT_8 = 256'0111101100000100111111001111000101111111100110101001101100111011101111010101101101111110010101011100000010100110101100011110001010010010110000000111001111000000001000110100000001101011110111001001101010110010011110000011111011000001001110001001100001001100 +Parameter \INIT_9 = 256'0110111110000100101000111101011100111101110001110011000100010000010110111100000010101001001100000000000001010110101011111100001110000110111010001111100010010100000000000111010000110111101100110100010110101111100111101110001110111100101001010110100010001000 +Parameter \INIT_A = 256'0000011011110000011100101101101101111011000000101100001000100001011011011001100101001110110001010011001100010001110001101111111001110001100100001111000010101110000110001111111001011010101001101001101110001100110111110000010110111010011110111101101000000100 +Parameter \INIT_B = 256'1110001001001000000111110101001101010100101000000100101001101011110000100100000000100100000111111010010001101110001001111000000011011011000010011000000000010011100001110000011001011000001010100100100110000011011011100111010001100010001000101000111110000010 +Parameter \INIT_C = 256'1110101000000101010000010011110000000110101110111000010011100100100011111111000100011100111000110110100100011101111001010101111111111100111100110000101110000101100101110000001111111100101111111011100011101011000111011110010101010000101001111100110001001011 +Parameter \INIT_D = 256'0110011111110110101000010110001111011001010010100100100010101101110110100011101010100110111110101110111011010000111011011101001000101001001001011011000001010110001101011100101011111000100101110110010111101110111100011010110100010000110100011010111010111000 +Parameter \INIT_E = 256'0000110110010111111101010101100100010001101010100110010101101111111101100000110011010110110100111101010111111010000011011011011100101001000111000111111000100101101101000110110111101100101010011011111000110001100001001000000110101010010000001001011100010001 +Parameter \INIT_F = 256'1100101011001001111010101100010011011010010000101101111010101111101111110110101101001001111111110100011110110111001100101011010010100110110011011011000011001010111111010111110100001010111110011011111100100110011011111101111111010011101000011001101110010001 +Generating RTLIL representation for module `$paramod$627bd8159a11d7351b0cd002b08e7a85cfce8ce1\SB_RAM40_4K'. + +2.26.39. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'0111101100001100000100010111101000110010000111111000110000110100110001100001011111110001100001111101111111111000011011001000001111011111110101100110110100011000110001101111110011101000111110111110101000011001001100000000100001000100000001001110001110001101 +Parameter \INIT_1 = 256'0010100010000000000011100100110011000001101010010100011101110010100011100010101001100110110001111101000111110001001001100011100101001001001110110001011011011011100101110110011110001100011000011100011110001000001000001010100100011110011100000010011011011101 +Parameter \INIT_2 = 256'1101001001110101100111010000101001111110001011011110000101001001111111011101101100011001001000100110010010010100101000110100101111001100110101110110000110011011101011100000000011010011111110000101110101010110001101011001000111001000111001110101110110100100 +Parameter \INIT_3 = 256'0100100000011101100111010001110111001000010111101100000100011100010010010010010111110111000101111100000101000101010111010000100001110000011111011011000001000011010101000101101101101100101001000000001001010111100000100010000101001101100101010110100000100111 +Parameter \INIT_4 = 256'1010101001000011001010101011011101000010000000001110110110110100010010111101100000011000011001101101010010101010001001101001010010101101110100000000111110001011010011001010000101001101101000110000100100010111000100001000100111110010101101001110100001111111 +Parameter \INIT_5 = 256'0000111001100101101000111110011000100111011010101100000000010111111001001111110100000100110011000011111111111111000100100111110111100100100110011101111101101011011100110011001000000110111010000100001101010100010100111001000101010101000110100000000010110011 +Parameter \INIT_6 = 256'0000110010110000101110011111111100110000011101101100100011110010011111111000000100110000110000010000001011101111111011100101111011001111011101110110101111011001101101000110000001110111011101101001010110101001001111001111010110111111111101110000111101010001 +Parameter \INIT_7 = 256'1011000101111111001100110011110110101000000100111100111000000001100100000010011000001110100010010111010100101011110110111101011101010010011100011100000001101101011011000010101101001100000111101101101010101001011001010010000000110010100100111101000000010001 +Parameter \INIT_8 = 256'0110100000110111100010010101000000000001100111001001110101000001100110001111011101010101101101000000111000011010000001000011001100001000110111110001010100110111010100001010010001100001010001110000101110100101001100010101000011011000011001101100000101010111 +Parameter \INIT_9 = 256'1111000100000111101011100101001100011001010100010100110101100011000000001000100000010011110101101111000100110111000101001111111101111011010100010100001100011010001101000011010011101001101111011010001011000100110010100100111000011101001000010110011110011001 +Parameter \INIT_A = 256'0000111011110001011000001110101100011011100011010011100110000101001000010011111010001010000111010101100111110111101001111100000111101001100101100011101111000110101000111010101101111111010011110010001110110000000111001000101110010110110000111111011010100111 +Parameter \INIT_B = 256'0101011001101001111110011011101101000110011110001000001011000011000011111111011001110111100011011101000100101101100111010001100101001001101011001000010011111010011011000101111011001011011111100111010000001010010100101010110011000110001001110110001000001111 +Parameter \INIT_C = 256'1001110110110100100000001111001111000010000000010011001001011010101101010110010001001111111110000101101000110011001000010101001011001110011000010101001010001010000000101100000100010001111100010101001011111100110001010000111110001011111011010111101011001101 +Parameter \INIT_D = 256'0001011000110100001000001000011001000111010000110010100100100101010011111100100000100101101000111111000111111111010111000111110110111000001111010011001000100111011100101010011110110011010110011111011110010111000111000001110110011000000000110011101100001011 +Parameter \INIT_E = 256'0101101001001001000000100100110000111110010001000111000000111011110101110011010010100010110110101101101000101110111001010100110101101111000000000111001101000110001001110100110011101101000000000100000100000001010100100001101011010001010000000111010000111010 +Parameter \INIT_F = 256'0101001101011100101111001101111011001000011111001000110010101110110011110001010011101111000001101000010000001100010001011010110100010010100101110010111100000010110101010110011110110111100010011000101001101010100100100001100010000101101100111001101010111100 +Generating RTLIL representation for module `$paramod$354b058f540860ee145f5e13e91198841fbcc4de\SB_RAM40_4K'. + +2.26.40. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'1101010010100000010110000111011111110101101101000010010101101001111010000000110100001101100001011101001000010111110111111011000011111011000111011001010100000111011000011101110011101001001011010000010001001010000010111001101111101101000110011111101010000100 +Parameter \INIT_1 = 256'1001010100011111101011001010100010111100101101101100010101000110101111001000010101011100010100101011010001100000010001010101100001010111010111101011011001110000010001001011011101101101101110011000010010100100000001111001010000000011010111010100001100110001 +Parameter \INIT_2 = 256'0000010111011101111000010000010000010111100010110110110001001000111110001011111110111000101010001010001011100010001010010110000010000101110000100111110011100011110011001000010101010101000000010101110001110100000100101000001001101100000011110100000010011110 +Parameter \INIT_3 = 256'0101000110111010111110100001110110101000010100001101010010110001100011111111010111110100001111010001000110011111010011100010110010011111110000000000111001100001101010001111100000010100010100000111101010010010100110000001001010100100110100101010111100001011 +Parameter \INIT_4 = 256'1001100110010110010000110010001001000000110100001101110010101001001111101101010011000101011110000100000010100001100011010001111100100001100000111110001011100111001100000010110100011001001101100101000101010001100101101001011100011010101100011010100101000000 +Parameter \INIT_5 = 256'1110001110101101110000000100110000110110101100111001000000110110111011110101100010000100111000011101110011101110001000111110111010110001011111101010100000011001111011000010000111001011011111011000011100111010110101010110100011110010001100001110111101000000 +Parameter \INIT_6 = 256'0011110101111100101101001010001010001010101101001100111111001110010011111111110010011101110000101111011101110110110100111000011100100101111100111101101011110011101011000111011111001010001001000000110001101010000101011110110101011001100001110111110110100010 +Parameter \INIT_7 = 256'0110110001000101100111011101110110001001001101111000101100111001010011011011011011100000001101011001000110000010110111001100110111011111010000001010110110011001111010001001101110101101000000001011101000011010101000110011110011010011011100100011101110000010 +Parameter \INIT_8 = 256'1100011101111111100011101101011001000011100001000110010011010000100011011011001011001011110000001101001001000011110011001110000101110110001011110101000101100001100110111100000111100111001100000000000100101011110001001000100011011000011001010011100001010111 +Parameter \INIT_9 = 256'0011110011000011011110010001000101101101111110010001000111011001001100101101110011000101000111000000101001101000101110111010111000101000100010111111011101010111011011111101111101010000001111100000011010000001000110110001101011001011101100110001100000001001 +Parameter \INIT_A = 256'0110111110010100000110110111010111010100101101100101010010101101100000100111000001011010010100001011101001111001100101101101100111111010011011010001101000011101101011011110100000000100101110110010101110010100001110010000000010111101100100111001000111100001 +Parameter \INIT_B = 256'0111001001101100110100001001010000100100011100111110110011011001011010110111101000001010010001010110011101011100011001110111011110101111000010110001110100001100100000100010100010010111100111101000110110001000111101001101101100100111001001010000000100111110 +Parameter \INIT_C = 256'0101011010100010000010111100010001110000001101111010001110000000111000101100001001110010001111111001011000101000111100100011001100000000111001010010101100110101110101000100011011000000001010100100100111011000100100101111000001011011111111100100110001001111 +Parameter \INIT_D = 256'0110111101111110100101001011110111010011100110011010000010001000111010101000101001001111000010101100000001111111111111011001010100011010010100000001010000000000011000000101101101100010110100110011110011001111110000001101101011110110000001000011000010101101 +Parameter \INIT_E = 256'0101001001101010100001010100001110110100011101011000001101111000011110011111111111011110110111111010111101011101010110000011110000000010111111010000100011011101101000010101111011101000010000110010000011000100011110000110010010100111101000011011000100011001 +Parameter \INIT_F = 256'0000011000011000011000101101000011000001100111110001001101100001100001111011010111000100110011010011001000000101100110000000000000011010111001001101010011110010110001011110000100010000101000000110010011111011001011100001000001110110011110111000111011010010 +Generating RTLIL representation for module `$paramod$a83cd7853b7ce9d915e398a115a69ef26de5cd7c\SB_RAM40_4K'. + +2.26.41. Executing AST frontend in derive mode using pre-parsed AST for module `\SB_RAM40_4K'. +Parameter \WRITE_MODE = 3 +Parameter \READ_MODE = 3 +Parameter \INIT_0 = 256'0010010111000001000111000111001000000110100110101011101100010000010010111111101011000001000100001100111111111110110100011111100101001110011011101110011100110000101100101001110100001010101011111010001111011001011111000101000100011001111000110001011111100111 +Parameter \INIT_1 = 256'0011010110000010010101010001000100011000110001010111001110011010011111101110000111100111000001011101011010001110100111111101001101100111110110011110011101011011010011011101000110001011011000011000101011000100111111000011110100111001110101111000101110101101 +Parameter \INIT_2 = 256'1000111100011110000101001010101010010100111101010000001111110001100100100011100111101100100100001000010010001011111010000010111111000100010100111011101001010110011111111111000100100101001001011001100100110000001001110010101111011100010011010100110100100010 +Parameter \INIT_3 = 256'0111000000110111101010111100010111010110101101100010000011011000010101101100110001100100110110111011000010110101000000100100010111111100001010111000101010010110100101101101110000010011101000000110111100100110111000110101111000110010101100110110111110001000 +Parameter \INIT_4 = 256'0001000100001001100011100001110110111111101101000010010001001011111110011111001101011111010110111111011111010000011001100100010010000000001001111110010011101000011001101010111111100000110111110000000111100101011010111101100100000010101011111110110011100111 +Parameter \INIT_5 = 256'1110111001010101001010010101110100001001100110000110110101001101001111000110011010100011100011000100110110001001100111100000101101110101000100010001101100011010100101011101011011111111100110010111011000100111111111010001000111011111101100101000001001100011 +Parameter \INIT_6 = 256'0101000001000001001001000010111101010101011100110101010001000111000011110000011110111000100011000010111100111100010101010101010101000001011000011110011101110101001111101100000101110100010100001110101101010000100110101010010011011111001110000100100110010001 +Parameter \INIT_7 = 256'0101001001010001110101101101101010001101110100010111111101010110010001010100011000101010100100111100100111111110111000010000110100110101001101101011110110110101001101011001100111001100111111011010110110010111100001000001111001001000001110000011111110101111 +Parameter \INIT_8 = 256'0001110110110100110010001010110111100100010000000100100001010110001000111100010010011110100010111100100111001000111111010001001101101011011001000100010010000111011101000110100000101000111101111010111010000011100111101100011100001111101101010110100001110110 +Parameter \INIT_9 = 256'1010011100001110000001011111000011100111011111011011001110000100110100010100000010000111001101100100010110010001011000111100011101101100111110100011001001110101101100011110010010000001110101111100110100000100011011100011111101000110001001001000001110010001 +Parameter \INIT_A = 256'1001001100101111101101000101010100001001100001110011011010010110010110000000111010111110111110000111001001111010000100010100001001100101100011001100000001110110101001101110100110101100110000100100011110011100010100110100110101000100000000001111111110011100 +Parameter \INIT_B = 256'1000011010111000000001010110110001110010000100010111000010110111100111101001111001000000111010011000000010101010100110100001011100110110001011011000010000100100110001111000100110000011001111110101100111010010100000111101011000000100100000110011101101010011 +Parameter \INIT_C = 256'0111101101000000101100100001000011000001001000111111101011110100110100100010001100000011111001010110110001001000011001100001111001101100110100100100110001010001010000011001101000001001110110110101110000000010011110111100111101001101010001111011101011010001 +Parameter \INIT_D = 256'1000111110100110011011101001010011001011100001111001000101001001000010101100101101010110110000001100111100010000001010000011110010111010011011101101011100111100111101011000000001001100100010011011011111111100001001010001010111110101100101110101000100000010 +Parameter \INIT_E = 256'0000101111010100100010011000111010111000101010110111111101000100111111011111010100100010001100110111001100100110001010100001111100101101100001110010000001110100101100111000110111100010100101110010110010110100101101010001100000011111101000010101010001111011 +Parameter \INIT_F = 256'1111011110010011010011011101100111001011011111011010111101011111000011110100010001111100000000110111100101101111110011010111000001011101100111100100110100110011111101111110010100011000011000100011101011100100010010100101000000001001000010010011000001111110 +Generating RTLIL representation for module `$paramod$846dbb211a861374c6fe88754cd6d7b75e31c8d1\SB_RAM40_4K'. 2.27. Printing statistics. === memtest === - Number of wires: 32 - Number of wire bits: 153 + Number of wires: 44 + Number of wire bits: 345 Number of public wires: 13 Number of public wire bits: 23 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 - Number of cells: 43 - SB_CARRY 7 - SB_DFF 10 + Number of cells: 61 + SB_CARRY 9 + SB_DFF 12 SB_GB 1 - SB_LUT4 21 - SB_RAM40_4K 4 + SB_LUT4 23 + SB_RAM40_4K 16 2.28. Executing CHECK pass (checking for obvious problems). checking module memtest.. @@ -1449,6 +2199,6 @@ found and reported 0 problems. 2.29. Executing JSON backend. Warnings: 9 unique messages, 9 total -End of script. Logfile hash: c41236f0c4 +End of script. Logfile hash: fc963237d1 Yosys 0.8+ (git sha1 UNKNOWN, x86_64-w64-mingw32-g++ 7.3-posix -O3 -DNDEBUG) Time spent: 2% 14x opt_expr (0 sec), 2% 14x opt_clean (0 sec), ... diff --git a/output.txt b/output.txt index 0f65e91..fe0deb7 100644 --- a/output.txt +++ b/output.txt @@ -4,978 +4,978 @@ CRAM data (bank 2): 692 x 336 @ 0x00000000; 232512 bits = 29064 bytes CRAM data (bank 3): 692 x 176 @ 0x00000000; 121792 bits = 15224 bytes BRAM data (bank 0): 160 x 128 @ 0x00000000; 20480 bits = 2560 bytes try 1: -00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000010 77 f8 31 3c 00 00 00 00 00 00 00 00 00 00 00 00 |w.1<............| -00000020 00 00 00 00 4d 75 44 bd 00 00 00 00 00 00 00 00 |....MuD.........| -00000030 00 00 00 00 00 00 00 00 2f 1f a9 0d 00 00 00 00 |......../.......| -00000040 00 00 00 00 00 00 00 00 00 00 00 00 8d d2 a1 b1 |................| -00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000060 d5 b5 bb d9 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000070 00 00 00 00 d3 94 fc c3 00 00 00 00 00 00 00 00 |................| -00000080 00 00 00 00 00 00 00 00 ac c7 d8 9a 00 00 00 00 |................| -00000090 00 00 00 00 00 00 00 00 00 00 00 00 43 ad 44 a5 |............C.D.| -000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000b0 01 5c 56 e0 00 00 00 00 00 00 00 00 00 00 00 00 |.\V.............| -000000c0 00 00 00 00 ff eb 5d f6 00 00 00 00 00 00 00 00 |......].........| -000000d0 00 00 00 00 00 00 00 00 a4 45 0b e4 00 00 00 00 |.........E......| -000000e0 00 00 00 00 00 00 00 00 00 00 00 00 d3 e1 19 ad |................| -000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000100 30 c5 a0 e5 00 00 00 00 00 00 00 00 00 00 00 00 |0...............| -00000110 00 00 00 00 c5 a4 6d 61 00 00 00 00 00 00 00 00 |......ma........| -00000120 00 00 00 00 00 00 00 00 f9 23 b7 50 00 00 00 00 |.........#.P....| -00000130 00 00 00 00 00 00 00 00 00 00 00 00 15 50 c1 94 |.............P..| -00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000150 7d dd de ba 00 00 00 00 00 00 00 00 00 00 00 00 |}...............| -00000160 00 00 00 00 e5 7d 53 f7 00 00 00 00 00 00 00 00 |.....}S.........| -00000170 00 00 00 00 00 00 00 00 2a 1c 68 51 00 00 00 00 |........*.hQ....| -00000180 00 00 00 00 00 00 00 00 00 00 00 00 02 80 87 ae |................| -00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001a0 e3 d5 1d de 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001b0 00 00 00 00 90 53 b6 12 00 00 00 00 00 00 00 00 |.....S..........| -000001c0 00 00 00 00 00 00 00 00 7c f8 24 13 00 00 00 00 |........|.$.....| -000001d0 00 00 00 00 00 00 00 00 00 00 00 00 c3 de 8e 6a |...............j| -000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001f0 b3 f4 3a e9 00 00 00 00 00 00 00 00 00 00 00 00 |..:.............| -00000200 00 00 00 00 4f a0 a7 83 00 00 00 00 00 00 00 00 |....O...........| -00000210 00 00 00 00 00 00 00 00 0d d2 da f2 00 00 00 00 |................| -00000220 00 00 00 00 00 00 00 00 00 00 00 00 06 91 1a 97 |................| -00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000240 c8 e6 13 70 00 00 00 00 00 00 00 00 00 00 00 00 |...p............| -00000250 00 00 00 00 94 12 b1 40 00 00 00 00 00 00 00 00 |.......@........| -00000260 00 00 00 00 00 00 00 00 d5 50 6f 1c 00 00 00 00 |.........Po.....| -00000270 00 00 00 00 00 00 00 00 00 00 00 00 e4 67 6c b7 |.............gl.| -00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000290 9f 62 6b e2 00 00 00 00 00 00 00 00 00 00 00 00 |.bk.............| -000002a0 00 00 00 00 60 13 96 41 00 00 00 00 00 00 00 00 |....`..A........| -000002b0 00 00 00 00 00 00 00 00 95 fa 70 a5 00 00 00 00 |..........p.....| -000002c0 00 00 00 00 00 00 00 00 00 00 00 00 b6 40 aa 78 |.............@.x| -000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002e0 3d 55 94 6e 00 00 00 00 00 00 00 00 00 00 00 00 |=U.n............| -000002f0 00 00 00 00 bf d1 91 22 00 00 00 00 00 00 00 00 |......."........| -00000300 00 00 00 00 00 00 00 00 c5 a3 e2 85 00 00 00 00 |................| -00000310 00 00 00 00 00 00 00 00 00 00 00 00 95 36 36 b8 |.............66.| -00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000330 73 34 d6 98 00 00 00 00 00 00 00 00 00 00 00 00 |s4..............| -00000340 00 00 00 00 92 3a 00 24 00 00 00 00 00 00 00 00 |.....:.$........| -00000350 00 00 00 00 00 00 00 00 b3 00 ad 0e 00 00 00 00 |................| -00000360 00 00 00 00 00 00 00 00 00 00 00 00 50 93 e9 d4 |............P...| -00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000380 d2 d0 6a 71 00 00 00 00 00 00 00 00 00 00 00 00 |..jq............| -00000390 00 00 00 00 89 1f 1c 57 00 00 00 00 00 00 00 00 |.......W........| -000003a0 00 00 00 00 00 00 00 00 ec 24 dd 8b 00 00 00 00 |.........$......| -000003b0 00 00 00 00 00 00 00 00 00 00 00 00 1f e5 20 03 |.............. .| -000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003d0 ff cf 44 d0 00 00 00 00 00 00 00 00 00 00 00 00 |..D.............| -000003e0 00 00 00 00 b6 b2 99 3f 00 00 00 00 00 00 00 00 |.......?........| -000003f0 00 00 00 00 00 00 00 00 ef e2 27 37 00 00 00 00 |..........'7....| -00000400 00 00 00 00 00 00 00 00 00 00 00 00 6f ea 27 2f |............o.'/| -00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000420 a5 c0 88 cc 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000430 00 00 00 00 ec 80 1b 3b 00 00 00 00 00 00 00 00 |.......;........| -00000440 00 00 00 00 00 00 00 00 cb a9 d0 e4 00 00 00 00 |................| -00000450 00 00 00 00 00 00 00 00 00 00 00 00 ab 3c 4a 1d |...........................| -00000890 00 00 00 00 89 78 52 45 00 00 00 00 00 00 00 00 |.....xRE........| -000008a0 00 00 00 00 00 00 00 00 fd 32 b0 01 00 00 00 00 |.........2......| -000008b0 00 00 00 00 00 00 00 00 00 00 00 00 48 14 bd 3b |............H..;| -000008c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008d0 1c fe 7e 41 00 00 00 00 00 00 00 00 00 00 00 00 |..~A............| -000008e0 00 00 00 00 82 0e 26 4f 00 00 00 00 00 00 00 00 |......&O........| -000008f0 00 00 00 00 00 00 00 00 1e 2c bf 48 00 00 00 00 |.........,.H....| -00000900 00 00 00 00 00 00 00 00 00 00 00 00 71 7a f3 42 |............qz.B| -00000910 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000920 34 14 b7 aa 00 00 00 00 00 00 00 00 00 00 00 00 |4...............| -00000930 00 00 00 00 b5 53 0e 5e 00 00 00 00 00 00 00 00 |.....S.^........| -00000940 00 00 00 00 00 00 00 00 77 55 e6 31 00 00 00 00 |........wU.1....| -00000950 00 00 00 00 00 00 00 00 00 00 00 00 3e 6c f3 ce |............>l..| -00000960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000970 98 51 4b 79 00 00 00 00 00 00 00 00 00 00 00 00 |.QKy............| -00000980 00 00 00 00 c4 66 e3 67 00 00 00 00 00 00 00 00 |.....f.g........| -00000990 00 00 00 00 00 00 00 00 38 b1 3d 11 00 00 00 00 |........8.=.....| -000009a0 00 00 00 00 00 00 00 00 00 00 00 00 97 66 d7 8b |.............f..| -000009b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009c0 47 ed 5d 01 00 00 00 00 00 00 00 00 00 00 00 00 |G.].............| -000009d0 00 00 00 00 13 57 0f 38 00 00 00 00 00 00 00 00 |.....W.8........| -000009e0 00 00 00 00 00 00 00 00 15 a5 6b 64 00 00 00 00 |..........kd....| -000009f0 00 00 00 00 00 00 00 00 00 00 00 00 f7 91 d6 2d |...............-| +00000000 00 00 00 00 00 00 00 00 00 00 d9 c3 a8 a9 2e a2 |................| +00000010 62 4d 7c 22 00 00 00 00 00 00 00 00 00 00 81 84 |bM|"............| +00000020 41 d0 90 c4 43 e0 0e 80 00 00 00 00 00 00 00 00 |A...C...........| +00000030 00 00 68 52 32 d5 9d 64 58 58 c6 75 00 00 00 00 |..hR2..dXX.u....| +00000040 00 00 00 00 00 00 1a 78 50 b1 9c 7b 62 d9 08 be |.......xP..{b...| +00000050 00 00 00 00 00 00 00 00 00 00 37 c1 ee 17 d7 2e |..........7.....| +00000060 fc 31 b4 71 00 00 00 00 00 00 00 00 00 00 5f 39 |.1.q.........._9| +00000070 77 c4 84 e5 11 f3 e5 c8 00 00 00 00 00 00 00 00 |w...............| +00000080 00 00 26 5e 76 94 54 44 d1 1f 7e 15 00 00 00 00 |..&^v.TD..~.....| +00000090 00 00 00 00 00 00 35 5c 21 ec 0a 53 41 14 76 72 |......5\!..SA.vr| +000000a0 00 00 00 00 00 00 00 00 00 00 48 9c 5d b2 9c 67 |..........H.]..g| +000000b0 47 34 c2 13 00 00 00 00 00 00 00 00 00 00 3b c3 |G4............;.| +000000c0 8b 95 8e e1 62 52 12 0c 00 00 00 00 00 00 00 00 |....bR..........| +000000d0 00 00 46 16 c2 1b 92 99 bf 19 61 23 00 00 00 00 |..F.......a#....| +000000e0 00 00 00 00 00 00 03 11 0a 45 ed 59 2e 09 f5 81 |.........E.Y....| +000000f0 00 00 00 00 00 00 00 00 00 00 ac 1b 5c 60 b1 b0 |............\`..| +00000100 3b 80 a1 bd 00 00 00 00 00 00 00 00 00 00 77 74 |;.............wt| +00000110 77 e1 22 d6 71 26 27 fd 00 00 00 00 00 00 00 00 |w.".q&'.........| +00000120 00 00 ef 13 3d 83 88 17 c7 92 fc 47 00 00 00 00 |....=......G....| +00000130 00 00 00 00 00 00 90 61 08 25 db a5 1a 7c 90 26 |.......a.%...|.&| +00000140 00 00 00 00 00 00 00 00 00 00 99 7b b3 02 81 ec |...........{....| +00000150 2b d4 77 59 00 00 00 00 00 00 00 00 00 00 cb 7e |+.wY...........~| +00000160 1c 77 96 80 84 c8 7b a9 00 00 00 00 00 00 00 00 |.w....{.........| +00000170 00 00 70 e2 26 64 4b a7 c8 da 52 54 00 00 00 00 |..p.&dK...RT....| +00000180 00 00 00 00 00 00 20 18 a9 b3 f6 dc 07 6f 63 91 |...... ......oc.| +00000190 00 00 00 00 00 00 00 00 00 00 87 ca 83 71 a4 c9 |.............q..| +000001a0 6e 18 79 bf 00 00 00 00 00 00 00 00 00 00 f2 35 |n.y............5| +000001b0 71 3a f5 19 75 6a 3d 2a 00 00 00 00 00 00 00 00 |q:..uj=*........| +000001c0 00 00 59 83 79 70 96 eb 1d 34 55 c4 00 00 00 00 |..Y.yp...4U.....| +000001d0 00 00 00 00 00 00 af 04 e7 d6 dd fb a7 d7 61 ed |..............a.| +000001e0 00 00 00 00 00 00 00 00 00 00 12 9f de 2a 7d cb |.............*}.| +000001f0 1b b3 47 c0 00 00 00 00 00 00 00 00 00 00 65 70 |..G...........ep| +00000200 1d ab 33 94 a2 7e 72 5c 00 00 00 00 00 00 00 00 |..3..~r\........| +00000210 00 00 d4 60 62 2e 27 9b 36 ae f9 3b 00 00 00 00 |...`b.'.6..;....| +00000220 00 00 00 00 00 00 08 48 92 76 2c 92 ca 3f ea 80 |.......H.v,..?..| +00000230 00 00 00 00 00 00 00 00 00 00 d7 3c bc fb e7 20 |...........<... | +00000240 7a 99 db 22 00 00 00 00 00 00 00 00 00 00 3a 25 |z.."..........:%| +00000250 94 8d a8 40 b0 cc fc 63 00 00 00 00 00 00 00 00 |...@...c........| +00000260 00 00 b3 5d 2f bb e8 cd ff 4f 34 f9 00 00 00 00 |...]/....O4.....| +00000270 00 00 00 00 00 00 bf 02 2b 84 6a 9b f6 73 d0 0d |........+.j..s..| +00000280 00 00 00 00 00 00 00 00 00 00 86 fd 9a 1f 6b a8 |..............k.| +00000290 67 ec 51 a7 00 00 00 00 00 00 00 00 00 00 05 c6 |g.Q.............| +000002a0 45 16 a0 2c d4 13 cc 11 00 00 00 00 00 00 00 00 |E..,............| +000002b0 00 00 f6 d5 30 d0 9f 3b da 1e 02 5d 00 00 00 00 |....0..;...]....| +000002c0 00 00 00 00 00 00 76 47 16 2e 04 b6 7c 83 93 fc |......vG....|...| +000002d0 00 00 00 00 00 00 00 00 00 00 2c 97 69 30 78 3e |..........,.i0x>| +000002e0 57 97 7c f2 00 00 00 00 00 00 00 00 00 00 0a df |W.|.............| +000002f0 c0 01 0e c1 cb 39 6b 10 00 00 00 00 00 00 00 00 |.....9k.........| +00000300 00 00 b3 d4 08 86 40 f3 49 4b 35 31 00 00 00 00 |......@.IK51....| +00000310 00 00 00 00 00 00 da d5 45 9e 91 b5 17 99 32 5d |........E.....2]| +00000320 00 00 00 00 00 00 00 00 00 00 b9 8f ed de da 34 |...............4| +00000330 19 97 6b cd 00 00 00 00 00 00 00 00 00 00 aa a9 |..k.............| +00000340 18 40 7c 41 78 8d db 4f 00 00 00 00 00 00 00 00 |.@|Ax..O........| +00000350 00 00 be 4f cf 55 c4 69 90 f2 7c be 00 00 00 00 |...O.U.i..|.....| +00000360 00 00 00 00 00 00 3d f1 26 2d 57 94 60 8b 8b 9f |......=.&-W.`...| +00000370 00 00 00 00 00 00 00 00 00 00 a7 a9 c6 22 a6 e9 |............."..| +00000380 df a0 09 b5 00 00 00 00 00 00 00 00 00 00 12 a8 |................| +00000390 93 3c f6 75 2d fa be a9 00 00 00 00 00 00 00 00 |.<.u-...........| +000003a0 00 00 13 02 47 d1 a2 da 06 04 5f 4d 00 00 00 00 |....G....._M....| +000003b0 00 00 00 00 00 00 bc 19 78 f8 c1 43 b6 43 17 30 |........x..C.C.0| +000003c0 00 00 00 00 00 00 00 00 00 00 3f 2f 8d 28 56 ac |..........?/.(V.| +000003d0 ca 60 88 a7 00 00 00 00 00 00 00 00 00 00 46 ab |.`............F.| +000003e0 2a 61 a1 6c d5 12 2d d8 00 00 00 00 00 00 00 00 |*a.l..-.........| +000003f0 00 00 cb d6 7d 93 c9 f5 71 83 5a 0c 00 00 00 00 |....}...q.Z.....| +00000400 00 00 00 00 00 00 25 2e dd 0b d0 36 de f2 dd 4d |......%....6...M| +00000410 00 00 00 00 00 00 00 00 00 00 02 1e 62 1c 58 f5 |............b.X.| +00000420 42 b6 cd 51 00 00 00 00 00 00 00 00 00 00 97 22 |B..Q..........."| +00000430 3a ab f4 ec b7 82 21 c2 00 00 00 00 00 00 00 00 |:.....!.........| +00000440 00 00 a3 70 00 14 46 4e a6 cc 51 14 00 00 00 00 |...p..FN..Q.....| +00000450 00 00 00 00 00 00 ba fa ee 3e 61 da f8 90 b6 27 |.........>a....'| +00000460 00 00 00 00 00 00 00 00 00 00 0d c2 ad 12 2f 50 |............../P| +00000470 7d 28 9c df 00 00 00 00 00 00 00 00 00 00 ed 01 |}(..............| +00000480 31 6b dc 8b 06 55 4d 89 00 00 00 00 00 00 00 00 |1k...UM.........| +00000490 00 00 ae 31 0d 31 0f b0 a0 e4 66 83 00 00 00 00 |...1.1....f.....| +000004a0 00 00 00 00 00 00 18 98 ee 0e da 35 75 88 b2 63 |...........5u..c| +000004b0 00 00 00 00 00 00 00 00 00 00 f3 49 d9 b5 94 af |...........I....| +000004c0 5d d1 5f 83 00 00 00 00 00 00 00 00 00 00 f4 92 |]._.............| +000004d0 e5 7f 95 bf fa 9e 3e 05 00 00 00 00 00 00 00 00 |......>.........| +000004e0 00 00 2a 3c a8 09 ba e6 95 ed 1f a2 00 00 00 00 |..*<............| +000004f0 00 00 00 00 00 00 70 2a 74 cf 73 b7 b0 0b 4e 3d |......p*t.s...N=| +00000500 00 00 00 00 00 00 00 00 00 00 4b ef 14 f5 91 4d |..........K....M| +00000510 e5 ec e3 42 00 00 00 00 00 00 00 00 00 00 c9 44 |...B...........D| +00000520 1c ae 26 a8 e1 05 42 1c 00 00 00 00 00 00 00 00 |..&...B.........| +00000530 00 00 94 0e fe 1c ef ba 60 44 16 3d 00 00 00 00 |........`D.=....| +00000540 00 00 00 00 00 00 51 3b 0b bf a0 78 5d 4e f5 d0 |......Q;...x]N..| +00000550 00 00 00 00 00 00 00 00 00 00 71 29 ae ab b4 8e |..........q)....| +00000560 26 cd ac 7e 00 00 00 00 00 00 00 00 00 00 7f c9 |&..~............| +00000570 71 96 54 38 dc ee 65 18 00 00 00 00 00 00 00 00 |q.T8..e.........| +00000580 00 00 14 e3 87 e1 86 8d 27 b4 22 b1 00 00 00 00 |........'.".....| +00000590 00 00 00 00 00 00 db b3 dc b3 2e 6b e7 56 a9 65 |...........k.V.e| +000005a0 00 00 00 00 00 00 00 00 00 00 3f 8a 1b a3 3b 20 |..........?...; | +000005b0 b3 db f5 1f 00 00 00 00 00 00 00 00 00 00 19 8b |................| +000005c0 7c 7b be f3 77 a9 f6 43 00 00 00 00 00 00 00 00 ||{..w..C........| +000005d0 00 00 53 5d b3 3b 4d 53 c3 76 59 ca 00 00 00 00 |..S].;MS.vY.....| +000005e0 00 00 00 00 00 00 dc 39 97 dc 72 ff e2 8a ac 4a |.......9..r....J| +000005f0 00 00 00 00 00 00 00 00 00 00 44 c7 cf 97 e0 f5 |..........D.....| +00000600 fe 34 4f fa 00 00 00 00 00 00 00 00 00 00 bc 6b |.4O............k| +00000610 e6 56 36 db 6a ca 21 01 00 00 00 00 00 00 00 00 |.V6.j.!.........| +00000620 00 00 73 8a 17 1d e5 fa 26 66 47 46 00 00 00 00 |..s.....&fGF....| +00000630 00 00 00 00 00 00 99 7f 8a f7 2c 57 72 29 d3 05 |..........,Wr)..| +00000640 00 00 00 00 00 00 00 00 00 00 b8 fe 3c 5c eb 4d |............<\.M| +00000650 4a 61 a1 ff 00 00 00 00 00 00 00 00 00 00 32 80 |Ja............2.| +00000660 76 58 b6 21 11 26 2b 08 00 00 00 00 00 00 00 00 |vX.!.&+.........| +00000670 00 00 4e b2 4a bf 4c a9 b5 d2 0b 96 00 00 00 00 |..N.J.L.........| +00000680 00 00 00 00 00 00 0c d4 de 80 df 24 ab 8e a5 ce |...........$....| +00000690 00 00 00 00 00 00 00 00 00 00 69 f4 dc 91 fc 7f |..........i.....| +000006a0 5b 5f 7d 61 00 00 00 00 00 00 00 00 00 00 99 19 |[_}a............| +000006b0 63 4b f0 9c 46 87 93 ee 00 00 00 00 00 00 00 00 |cK..F...........| +000006c0 00 00 0f 58 d9 b6 fb 32 d4 e0 2e 57 00 00 00 00 |...X...2...W....| +000006d0 00 00 00 00 00 00 27 7e 8e e7 3b e5 14 db e9 ff |......'~..;.....| +000006e0 00 00 00 00 00 00 00 00 00 00 d3 8e a3 70 5b 5a |.............p[Z| +000006f0 f7 53 25 c4 00 00 00 00 00 00 00 00 00 00 4e 75 |.S%...........Nu| +00000700 52 d2 e7 d8 32 cd cb 65 00 00 00 00 00 00 00 00 |R...2..e........| +00000710 00 00 39 f2 ce fa 0e 01 34 97 2a 2f 00 00 00 00 |..9.....4.*/....| +00000720 00 00 00 00 00 00 53 7f 99 db ff 96 7b 15 c3 1c |......S.....{...| +00000730 00 00 00 00 00 00 00 00 00 00 1d f1 b1 53 7e f2 |.............S~.| +00000740 33 50 98 b8 00 00 00 00 00 00 00 00 00 00 37 f3 |3P............7.| +00000750 82 e3 2f 6f b0 94 dd 0f 00 00 00 00 00 00 00 00 |../o............| +00000760 00 00 d1 72 60 14 a6 40 5c c5 75 be 00 00 00 00 |...r`..@\.u.....| +00000770 00 00 00 00 00 00 3d 1d c7 9e 8d aa 47 31 1b 61 |......=.....G1.a| +00000780 00 00 00 00 00 00 00 00 00 00 97 1e 2d 88 a6 9c |............-...| +00000790 7a 6a 14 3d 00 00 00 00 00 00 00 00 00 00 b3 aa |zj.=............| +000007a0 d4 f7 72 41 f0 fe 74 b4 00 00 00 00 00 00 00 00 |..rA..t.........| +000007b0 00 00 6f 86 95 1d d1 ec 22 15 b8 d5 00 00 00 00 |..o.....".......| +000007c0 00 00 00 00 00 00 40 86 d8 4b d6 af e9 7a 7c 77 |......@..K...z|w| +000007d0 00 00 00 00 00 00 00 00 00 00 6e c0 18 27 9d b9 |..........n..'..| +000007e0 ed 73 88 2d 00 00 00 00 00 00 00 00 00 00 37 e8 |.s.-..........7.| +000007f0 65 56 21 75 85 bb a8 38 00 00 00 00 00 00 00 00 |eV!u...8........| +00000800 00 00 76 b6 93 8b f2 e4 27 6e 48 cd 00 00 00 00 |..v.....'nH.....| +00000810 00 00 00 00 00 00 cb 9d b5 0d 9c fe 5b 8f 53 3f |............[.S?| +00000820 00 00 00 00 00 00 00 00 00 00 e1 35 87 3e 47 2d |...........5.>G-| +00000830 1b e0 45 2f 00 00 00 00 00 00 00 00 00 00 f8 c4 |..E/............| +00000840 2f 21 3c 7a 81 f9 42 3d 00 00 00 00 00 00 00 00 |/!....| +00000a40 00 00 00 00 00 00 00 aa 9c b5 e8 07 44 fa 9a b2 |............D...| +00000a50 00 00 00 00 00 00 00 00 00 00 c0 81 b4 f4 a3 98 |................| +00000a60 a9 7b 6b dc 00 00 00 00 00 00 00 00 00 00 34 67 |.{k...........4g| +00000a70 96 c1 a2 13 9c d3 23 40 00 00 00 00 00 00 00 00 |......#@........| +00000a80 00 00 e6 a1 c2 cf 6e 85 98 55 73 c0 00 00 00 00 |......n..Us.....| +00000a90 00 00 00 00 00 00 f3 93 be 9c 6a 6d 4e 6f 92 c0 |..........jmNo..| +00000aa0 00 00 00 00 00 00 00 00 00 00 b7 8d c2 8a 46 dc |..............F.| +00000ab0 46 ed b1 e2 00 00 00 00 00 00 00 00 00 00 37 49 |F.............7I| +00000ac0 16 80 51 85 80 db c0 a6 00 00 00 00 00 00 00 00 |..Q.............| +00000ad0 00 00 70 8e cb 16 c3 c0 3e fc 7e 55 00 00 00 00 |..p.....>.~U....| +00000ae0 00 00 00 00 00 00 20 59 9a 62 6d db 70 77 bd 5b |...... Y.bm.pw.[| +00000af0 00 00 00 00 00 00 00 00 00 00 0d 77 e7 b1 f1 88 |...........w....| +00000b00 c9 b9 9b 3b 00 00 00 00 00 00 00 00 00 00 f3 36 |...;...........6| +00000b10 83 95 49 5f 7d 33 7f 9a 00 00 00 00 00 00 00 00 |..I_}3..........| +00000b20 00 00 2c 2e 02 40 1c 62 21 58 fc f1 00 00 00 00 |..,..@.b!X......| +00000b30 00 00 00 00 00 00 4c bf c4 d8 80 1e aa 7d 7b 04 |......L......}{.| +00000b40 00 00 00 00 00 00 00 00 00 00 28 3d 47 5c 0e 35 |..........(=G\.5| +00000b50 d0 4f 68 88 00 00 00 00 00 00 00 00 00 00 3f eb |.Oh...........?.| +00000b60 c8 69 57 32 66 ad bc a5 00 00 00 00 00 00 00 00 |.iW2f...........| +00000b70 00 00 96 22 48 35 d4 d8 74 d0 9e e3 00 00 00 00 |..."H5..t.......| +00000b80 00 00 00 00 00 00 6d 62 f4 4f 0d 6e 99 6b 45 af |......mb.O.n.kE.| +00000b90 00 00 00 00 00 00 00 00 00 00 11 fd 69 76 e1 b6 |............iv..| +00000ba0 06 8c 37 b3 00 00 00 00 00 00 00 00 00 00 7b e6 |..7...........{.| +00000bb0 56 9b 7c 50 a8 6f 00 74 00 00 00 00 00 00 00 00 |V.|P.o.t........| +00000bc0 00 00 87 51 e5 26 eb 16 18 8c f8 94 00 00 00 00 |...Q.&..........| +00000bd0 00 00 00 00 00 00 a4 d2 c7 fe 37 1d 35 81 86 e8 |..........7.5...| +00000be0 00 00 00 00 00 00 00 00 00 00 5f ff ca 3d 8b 77 |.........._..=.w| +00000bf0 32 db af c3 00 00 00 00 00 00 00 00 00 00 39 e0 |2.............9.| +00000c00 84 72 b8 f8 d0 22 00 56 00 00 00 00 00 00 00 00 |.r...".V........| +00000c10 00 00 f2 1d 99 34 93 14 21 d0 a9 30 00 00 00 00 |.....4..!..0....| +00000c20 00 00 00 00 00 00 4f 54 5f 88 a7 7b 80 64 5b c0 |......OT_..{.d[.| +00000c30 00 00 00 00 00 00 00 00 00 00 61 bf f6 cc d1 1c |..........a.....| +00000c40 54 09 31 10 00 00 00 00 00 00 00 00 00 00 0b 32 |T.1............2| +00000c50 84 1a d9 14 86 5d 3d c7 00 00 00 00 00 00 00 00 |.....]=.........| +00000c60 00 00 5f 7d 80 05 72 cf 2f ba a3 d7 00 00 00 00 |.._}..r./.......| +00000c70 00 00 00 00 00 00 92 c3 b9 b7 d2 b2 54 b1 6f 84 |............T.o.| +00000c80 00 00 00 00 00 00 00 00 00 00 cc 60 c5 98 5f 99 |...........`.._.| +00000c90 4f f5 da 04 00 00 00 00 00 00 00 00 00 00 fc 28 |O..............(| +00000ca0 e8 7c 69 fe 46 fc ba 7b 00 00 00 00 00 00 00 00 |.|i.F..{........| +00000cb0 00 00 1c 29 e7 3e 24 af ee ce df 05 00 00 00 00 |...).>$.........| +00000cc0 00 00 00 00 00 00 29 cf fb de 3d 52 2f cb 9b 8c |......)...=R/...| +00000cd0 00 00 00 00 00 00 00 00 00 00 7c aa 56 9a 13 1e |..........|.V...| +00000ce0 95 47 5a a6 00 00 00 00 00 00 00 00 00 00 02 38 |.GZ............8| +00000cf0 e2 a0 b6 53 bf 20 18 fe 00 00 00 00 00 00 00 00 |...S. ..........| +00000d00 00 00 38 e7 18 7d d9 a6 11 eb f0 ae 00 00 00 00 |..8..}..........| +00000d10 00 00 00 00 00 00 d6 65 9b 56 0a 1f 50 0f 71 90 |.......e.V..P.q.| +00000d20 00 00 00 00 00 00 00 00 00 00 b6 e8 e7 80 2b 09 |..............+.| +00000d30 8c 43 c6 fe 00 00 00 00 00 00 00 00 00 00 71 fa |.C............q.| +00000d40 c3 4f 0c 7a cf ee 33 11 00 00 00 00 00 00 00 00 |.O.z..3.........| +00000d50 00 00 a4 09 24 89 65 bc b8 5b 4e c5 00 00 00 00 |....$.e..[N.....| +00000d60 00 00 00 00 00 00 8e be 3d b8 2b db 6b 88 6d 99 |........=.+.k.m.| +00000d70 00 00 00 00 00 00 00 00 00 00 b6 c4 78 73 aa e2 |............xs..| +00000d80 fe ac c2 21 00 00 00 00 00 00 00 00 00 00 68 cd |...!..........h.| +00000d90 7b 31 72 d0 9e df 7b 02 00 00 00 00 00 00 00 00 |{1r...{.........| +00000da0 00 00 10 78 4e 6e 52 84 86 6c 72 db 00 00 00 00 |...xNnR..lr.....| +00000db0 00 00 00 00 00 00 08 26 3d ff dd f3 75 bc 06 f0 |.......&=...u...| +00000dc0 00 00 00 00 00 00 00 00 00 00 da 90 2b 26 39 d4 |............+&9.| +00000dd0 db db 8f 82 00 00 00 00 00 00 00 00 00 00 29 bb |..............).| +00000de0 9a df d0 f4 74 55 62 22 00 00 00 00 00 00 00 00 |....tUb"........| +00000df0 00 00 7d 38 c1 44 4f 69 6a 35 6e 74 00 00 00 00 |..}8.DOij5nt....| +00000e00 00 00 00 00 00 00 20 c7 f8 20 a8 64 e1 d9 49 83 |...... .. .d..I.| +00000e10 00 00 00 00 00 00 00 00 00 00 b9 68 30 48 aa d8 |...........h0H..| +00000e20 81 bc 58 2a 00 00 00 00 00 00 00 00 00 00 61 cc |..X*..........a.| +00000e30 91 06 9a 15 bc d0 87 06 00 00 00 00 00 00 00 00 |................| +00000e40 00 00 97 cd ec 06 ab 94 9a 99 80 13 00 00 00 00 |................| +00000e50 00 00 00 00 00 00 1a bb 16 77 db 26 9a a2 db 09 |.........w.&....| +00000e60 00 00 00 00 00 00 00 00 00 00 ed ad 17 bd c2 05 |................| +00000e70 e6 34 27 80 00 00 00 00 00 00 00 00 00 00 0b 85 |.4'.............| +00000e80 51 f4 10 58 38 45 a4 6e 00 00 00 00 00 00 00 00 |Q..X8E.n........| +00000e90 00 00 cc dc e9 0d ee 31 27 6a 24 1f 00 00 00 00 |.......1'j$.....| +00000ea0 00 00 00 00 00 00 3e 9d a8 5f 35 0e 43 15 c2 40 |......>.._5.C..@| +00000eb0 00 00 00 00 00 00 00 00 00 00 0a f6 df 95 89 f7 |................| +00000ec0 6c a4 4a 6b 00 00 00 00 00 00 00 00 00 00 db d0 |l.Jk............| +00000ed0 14 82 4e 79 8f 6a 54 a0 00 00 00 00 00 00 00 00 |..Ny.jT.........| +00000ee0 00 00 ef 79 98 f2 ad 9a d6 ad 1f 53 00 00 00 00 |...y.......S....| +00000ef0 00 00 00 00 00 00 e4 16 7c ee 28 50 78 00 e2 48 |........|.(Px..H| +00000f00 00 00 00 00 00 00 00 00 00 00 fc aa 12 ec bd 16 |................| +00000f10 ff 7e cc 4b 00 00 00 00 00 00 00 00 00 00 ac f7 |.~.K............| +00000f20 82 07 fa bd 29 6e 50 a7 00 00 00 00 00 00 00 00 |....)nP.........| +00000f30 00 00 c9 09 ca 83 c4 6e 7d 21 1d e5 00 00 00 00 |.......n}!......| +00000f40 00 00 00 00 00 00 d9 ad 1d 7b ad 5e 73 be b8 eb |.........{.^s...| +00000f50 00 00 00 00 00 00 00 00 00 00 66 d9 62 66 95 c8 |..........f.bf..| +00000f60 a6 48 fc bf 00 00 00 00 00 00 00 00 00 00 5b 1c |.H............[.| +00000f70 db 80 f0 93 91 1b 97 03 00 00 00 00 00 00 00 00 |................| +00000f80 00 00 e2 04 3a 3b 2c df 7d ba 0b 85 00 00 00 00 |....:;,.}.......| +00000f90 00 00 00 00 00 00 60 07 43 1c 1a 67 42 9a fc f3 |......`.C..gB...| +00000fa0 00 00 00 00 00 00 00 00 00 00 8e fe 38 04 09 39 |............8..9| +00000fb0 a0 0c e5 5f 00 00 00 00 00 00 00 00 00 00 a0 3f |..._...........?| +00000fc0 ae 85 7b bc 76 35 69 1d 00 00 00 00 00 00 00 00 |..{.v5i.........| +00000fd0 00 00 4f d8 01 dd ac 1e 5f 41 1c e3 00 00 00 00 |..O....._A......| +00000fe0 00 00 00 00 00 00 ee 51 4a 4f c6 d7 be d7 8f f1 |.......QJO......| +00000ff0 00 00 00 00 00 00 00 00 00 00 fc 93 0c 72 9c 11 |.............r..| +00001000 57 cd 84 e4 00 00 00 00 00 00 00 00 00 00 ef cb |W...............| +00001010 d8 6b cb 66 11 3f 06 bb 00 00 00 00 00 00 00 00 |.k.f.?..........| +00001020 00 00 36 74 1e cb d7 f4 40 09 41 3c 00 00 00 00 |..6t....@.A<....| +00001030 00 00 00 00 00 00 75 52 70 31 c2 1a 41 2f ea 05 |......uRp1..A/..| +00001040 00 00 00 00 00 00 00 00 00 00 48 49 64 03 6a 22 |..........HId.j"| +00001050 65 15 ae b8 00 00 00 00 00 00 00 00 00 00 17 4d |e..............M| +00001060 8b 85 02 ef dc 18 10 d1 00 00 00 00 00 00 00 00 |................| +00001070 00 00 92 cd 54 92 bc 20 0e 89 f1 ad 00 00 00 00 |....T.. ........| +00001080 00 00 00 00 00 00 39 62 a3 c0 79 75 ab a4 65 ee |......9b..yu..e.| +00001090 00 00 00 00 00 00 00 00 00 00 28 ec 5e 81 f8 1c |..........(.^...| +000010a0 c1 dd f8 97 00 00 00 00 00 00 00 00 00 00 7f d7 |................| +000010b0 a6 28 e6 86 1d db 35 ca 00 00 00 00 00 00 00 00 |.(....5.........| +000010c0 00 00 98 ee 21 56 0a 9e 02 7b b0 56 00 00 00 00 |....!V...{.V....| +000010d0 00 00 00 00 00 00 8a ed 3b 77 e6 a8 ea 87 29 25 |........;w....)%| +000010e0 00 00 00 00 00 00 00 00 00 00 82 5f af 44 97 e8 |..........._.D..| +000010f0 1a ae ed d2 00 00 00 00 00 00 00 00 00 00 4e 77 |..............Nw| +00001100 6d e8 3a fd 76 0a ee d0 00 00 00 00 00 00 00 00 |m.:.v...........| +00001110 00 00 03 23 be c8 35 06 67 d5 a6 fa 00 00 00 00 |...#..5.g.......| +00001120 00 00 00 00 00 00 3e 61 68 25 4a c3 17 54 da 3a |......>ah%J..T.:| +00001130 00 00 00 00 00 00 00 00 00 00 e2 ca f4 c8 c5 8e |................| +00001140 82 48 48 ad 00 00 00 00 00 00 00 00 00 00 92 5e |.HH............^| +00001150 f9 47 b6 3e e0 3f d9 4a 00 00 00 00 00 00 00 00 |.G.>.?.J........| +00001160 00 00 fe 0e 27 65 81 eb bf 5f a1 63 00 00 00 00 |....'e..._.c....| +00001170 00 00 00 00 00 00 94 94 45 02 ac 17 5c d7 67 f6 |........E...\.g.| +00001180 00 00 00 00 00 00 00 00 00 00 26 a3 9b 3d 8a 75 |..........&..=.u| +00001190 56 ee 97 11 00 00 00 00 00 00 00 00 00 00 74 0c |V.............t.| +000011a0 ab dd ca 8f 84 bc aa 40 00 00 00 00 00 00 00 00 |.......@........| +000011b0 00 00 7d e5 f5 c3 07 7e 7f 4f 84 81 00 00 00 00 |..}....~.O......| +000011c0 00 00 00 00 00 00 17 6e c1 6b 51 d6 2c d1 be 31 |.......n.kQ.,..1| +000011d0 00 00 00 00 00 00 00 00 00 00 92 5c 72 e8 b7 54 |...........\r..T| +000011e0 a7 9e ec a9 00 00 00 00 00 00 00 00 00 00 7d 25 |..............}%| +000011f0 11 d0 6a 5d 35 88 b4 6d 00 00 00 00 00 00 00 00 |..j]5..m........| +00001200 00 00 af 16 6c 15 be c4 8f a0 7e 25 00 00 00 00 |....l.....~%....| +00001210 00 00 00 00 00 00 6e 95 31 95 a1 09 b3 fd 29 1c |......n.1.....).| +00001220 00 00 00 00 00 00 00 00 00 00 42 bc 72 5e 1a b2 |..........B.r^..| +00001230 a4 f9 0d b7 00 00 00 00 00 00 00 00 00 00 4f 1f |..............O.| +00001240 73 01 86 26 58 89 d5 fa 00 00 00 00 00 00 00 00 |s..&X...........| +00001250 00 00 bc 66 ed 81 f5 19 1a ce d6 d3 00 00 00 00 |...f............| +00001260 00 00 00 00 00 00 00 dc 5e d0 7f b4 c6 5a f6 0c |........^....Z..| +00001270 00 00 00 00 00 00 00 00 00 00 03 b3 0b cc 5b a5 |..............[.| +00001280 d9 b0 65 6f 00 00 00 00 00 00 00 00 00 00 13 06 |..eo............| +00001290 1d 17 53 37 da 46 11 aa 00 00 00 00 00 00 00 00 |..S7.F..........| +000012a0 00 00 5a 07 fb 8b 84 a8 a1 ff f5 59 00 00 00 00 |..Z........Y....| +000012b0 00 00 00 00 00 00 46 a0 a8 91 d5 a4 a8 b3 0d 97 |......F.........| +000012c0 00 00 00 00 00 00 00 00 00 00 8e b2 b7 05 be 27 |...............'| +000012d0 64 eb 9b 91 00 00 00 00 00 00 00 00 00 00 be be |d...............| +000012e0 4b c6 1a 81 af 44 d3 a1 00 00 00 00 00 00 00 00 |K....D..........| +000012f0 00 00 a5 b1 11 aa 5e 7d b8 7c 6f df 00 00 00 00 |......^}.|o.....| +00001300 00 00 00 00 00 00 05 43 3d 00 7d 2e ce 43 bf 26 |.......C=.}..C.&| +00001310 00 00 00 00 00 00 00 00 00 00 7c 92 30 a5 56 88 |..........|.0.V.| +00001320 7b 4b 0a f9 00 00 00 00 00 00 00 00 00 00 e0 25 |{K.............%| +00001330 1d f9 2d af 20 c6 fd 7d 00 00 00 00 00 00 00 00 |..-. ..}........| +00001340 00 00 21 9c 20 9a 89 dc 23 b2 b0 ca 00 00 00 00 |..!. ...#.......| +00001350 00 00 00 00 00 00 06 05 43 45 aa f3 c0 13 a6 cd |........CE......| +00001360 00 00 00 00 00 00 00 00 00 00 6d 83 42 e4 2f 18 |..........m.B./.| +00001370 7c 6f 32 b4 00 00 00 00 00 00 00 00 00 00 9c e1 ||o2.............| +00001380 84 6d 57 e0 37 21 47 b7 00 00 00 00 00 00 00 00 |.mW.7!G.........| +00001390 00 00 23 36 fb 30 93 d0 78 1e 49 ff 00 00 00 00 |..#6.0..x.I.....| +000013a0 00 00 00 00 00 00 7f a0 b1 a7 5d f5 94 c3 bf 6b |..........]....k| +000013b0 00 00 00 00 00 00 00 00 00 00 16 f0 a2 5b 0f ea |.............[..| +000013c0 11 3f de af 00 00 00 00 00 00 00 00 00 00 d1 75 |.?.............u| +000013d0 01 2a 19 77 6a ee da 42 00 00 00 00 00 00 00 00 |.*.wj..B........| +000013e0 00 00 e2 d1 73 18 33 7f 77 ce ea c4 00 00 00 00 |....s.3.w.......| +000013f0 00 00 00 00 00 00 bf 93 8e e7 b8 f0 8f bf ca c9 |................| BRAM data (bank 1): 80 x 128 @ 0x00000000; 10240 bits = 1280 bytes try 1: -00000000 d2 f9 ab 5f 00 00 00 00 00 00 08 9c 0c ca 00 00 |..._............| -00000010 00 00 00 00 09 b0 42 c7 00 00 00 00 00 00 92 09 |......B.........| -00000020 32 8a 00 00 00 00 00 00 31 81 e8 f8 00 00 00 00 |2.......1.......| -00000030 00 00 84 27 12 d9 00 00 00 00 00 00 49 b7 0b 5e |...'........I..^| -00000040 00 00 00 00 00 00 ea 24 b8 84 00 00 00 00 00 00 |.......$........| -00000050 3b 9c 38 cb 00 00 00 00 00 00 c8 0a 95 64 00 00 |;.8..........d..| -00000060 00 00 00 00 77 ad 9a 13 00 00 00 00 00 00 b5 89 |....w...........| -00000070 5c e4 00 00 00 00 00 00 05 2c 42 7c 00 00 00 00 |\........,B|....| -00000080 00 00 bd c6 af 5b 00 00 00 00 00 00 63 7e 34 05 |.....[......c~4.| -00000090 00 00 00 00 00 00 c2 48 dc 11 00 00 00 00 00 00 |.......H........| -000000a0 9d fc 45 69 00 00 00 00 00 00 8d 20 8b 73 00 00 |..Ei....... .s..| -000000b0 00 00 00 00 18 02 fd 87 00 00 00 00 00 00 cd cf |................| -000000c0 86 21 00 00 00 00 00 00 17 d8 11 c3 00 00 00 00 |.!..............| -000000d0 00 00 f6 c6 58 ff 00 00 00 00 00 00 b4 14 be 07 |....X...........| -000000e0 00 00 00 00 00 00 75 8f 70 ff 00 00 00 00 00 00 |......u.p.......| -000000f0 9c bf 71 c0 00 00 00 00 00 00 58 3a c2 60 00 00 |..q.......X:.`..| -00000100 00 00 00 00 b7 ba fb ea 00 00 00 00 00 00 a8 3b |...............;| -00000110 27 4d 00 00 00 00 00 00 ee b9 cf 0e 00 00 00 00 |'M..............| -00000120 00 00 53 f0 08 48 00 00 00 00 00 00 81 7f 1e 60 |..S..H.........`| -00000130 00 00 00 00 00 00 01 2b bf 8a 00 00 00 00 00 00 |.......+........| -00000140 47 ec c3 f8 00 00 00 00 00 00 31 23 01 5f 00 00 |G.........1#._..| -00000150 00 00 00 00 59 fa f9 fa 00 00 00 00 00 00 6c 43 |....Y.........lC| -00000160 24 fd 00 00 00 00 00 00 c2 5f 26 35 00 00 00 00 |$........_&5....| -00000170 00 00 8c ed 94 f6 00 00 00 00 00 00 75 c7 3b b6 |............u.;.| -00000180 00 00 00 00 00 00 39 fd 5d b5 00 00 00 00 00 00 |......9.].......| -00000190 fd b7 83 99 00 00 00 00 00 00 0f 51 19 b7 00 00 |...........Q....| -000001a0 00 00 00 00 62 42 18 88 00 00 00 00 00 00 7f 73 |....bB.........s| -000001b0 c2 5c 00 00 00 00 00 00 55 3c 96 ee 00 00 00 00 |.\......U<......| -000001c0 00 00 99 a6 9c cc 00 00 00 00 00 00 6d 78 a7 a7 |............mx..| -000001d0 00 00 00 00 00 00 9c 5b 70 75 00 00 00 00 00 00 |.......[pu......| -000001e0 33 d8 8c 60 00 00 00 00 00 00 54 56 47 eb 00 00 |3..`......TVG...| -000001f0 00 00 00 00 98 87 5c 2c 00 00 00 00 00 00 b5 8a |......\,........| -00000200 2a ac 00 00 00 00 00 00 05 fa 1e d4 00 00 00 00 |*...............| -00000210 00 00 36 5e 08 ca 00 00 00 00 00 00 34 e8 ad fe |..6^........4...| -00000220 00 00 00 00 00 00 1b 70 6d 21 00 00 00 00 00 00 |.......pm!......| -00000230 43 e4 cf 5f 00 00 00 00 00 00 55 a9 30 96 00 00 |C.._......U.0...| -00000240 00 00 00 00 fb 30 ce c3 00 00 00 00 00 00 5b b4 |.....0........[.| -00000250 98 9d 00 00 00 00 00 00 4f 95 3b 46 00 00 00 00 |........O.;F....| -00000260 00 00 29 0a 70 01 00 00 00 00 00 00 5e e5 ad 4d |..).p.......^..M| -00000270 00 00 00 00 00 00 19 43 f3 86 00 00 00 00 00 00 |.......C........| -00000280 3e d4 5e 68 00 00 00 00 00 00 88 e5 85 2f 00 00 |>.^h........./..| -00000290 00 00 00 00 19 60 e4 ec 00 00 00 00 00 00 74 46 |.....`........tF| -000002a0 01 be 00 00 00 00 00 00 72 f9 23 7e 00 00 00 00 |........r.#~....| -000002b0 00 00 14 02 08 44 00 00 00 00 00 00 f9 fc 9a ac |.....D..........| -000002c0 00 00 00 00 00 00 45 5e b1 90 00 00 00 00 00 00 |......E^........| -000002d0 87 6f cd 7c 00 00 00 00 00 00 2b fd b7 28 00 00 |.o.|......+..(..| -000002e0 00 00 00 00 26 5e 73 f3 00 00 00 00 00 00 c2 8a |....&^s.........| -000002f0 be 99 00 00 00 00 00 00 4e b8 14 fd 00 00 00 00 |........N.......| -00000300 00 00 85 aa bb f9 00 00 00 00 00 00 be aa 67 02 |..............g.| -00000310 00 00 00 00 00 00 bd 89 39 b6 00 00 00 00 00 00 |........9.......| -00000320 37 59 d9 0e 00 00 00 00 00 00 6c 76 9e 60 00 00 |7Y........lv.`..| -00000330 00 00 00 00 de f6 16 4c 00 00 00 00 00 00 c6 ee |.......L........| -00000340 ce 93 00 00 00 00 00 00 85 af 3a 0a 00 00 00 00 |..........:.....| -00000350 00 00 ee 5b 0f ab 00 00 00 00 00 00 fb 80 e6 d8 |...[............| -00000360 00 00 00 00 00 00 17 c3 d9 8e 00 00 00 00 00 00 |................| -00000370 94 cf e0 fe 00 00 00 00 00 00 fd d9 c1 9d 00 00 |................| -00000380 00 00 00 00 0b 23 9e dc 00 00 00 00 00 00 1c 9d |.....#..........| -00000390 e4 9a 00 00 00 00 00 00 30 fc aa 99 00 00 00 00 |........0.......| -000003a0 00 00 e7 b0 f9 17 00 00 00 00 00 00 e6 01 87 e8 |................| -000003b0 00 00 00 00 00 00 9d bd 65 2f 00 00 00 00 00 00 |........e/......| -000003c0 d1 ba 84 b5 00 00 00 00 00 00 f0 b2 94 60 00 00 |.............`..| -000003d0 00 00 00 00 11 09 41 f5 00 00 00 00 00 00 53 36 |......A.......S6| -000003e0 b2 f9 00 00 00 00 00 00 e1 47 5f b7 00 00 00 00 |.........G_.....| -000003f0 00 00 00 77 5d e6 00 00 00 00 00 00 d1 3e 88 f7 |...w]........>..| -00000400 00 00 00 00 00 00 ff 7f 28 95 00 00 00 00 00 00 |........(.......| -00000410 a7 5c d6 fc 00 00 00 00 00 00 b9 d5 2b 71 00 00 |.\..........+q..| -00000420 00 00 00 00 17 4a b2 ed 00 00 00 00 00 00 d6 3e |.....J.........>| -00000430 95 22 00 00 00 00 00 00 26 c2 52 2c 00 00 00 00 |."......&.R,....| -00000440 00 00 2a e4 33 ed 00 00 00 00 00 00 7d ba 06 98 |..*.3.......}...| -00000450 00 00 00 00 00 00 07 b5 58 e2 00 00 00 00 00 00 |........X.......| -00000460 13 66 16 c7 00 00 00 00 00 00 b4 98 a9 6a 00 00 |.f...........j..| -00000470 00 00 00 00 4b 97 4a b0 00 00 00 00 00 00 93 dd |....K.J.........| -00000480 22 69 00 00 00 00 00 00 23 d8 47 4d 00 00 00 00 |"i......#.GM....| -00000490 00 00 32 0d f4 77 00 00 00 00 00 00 18 fe fa 77 |..2..w.........w| -000004a0 00 00 00 00 00 00 99 bc d7 f8 00 00 00 00 00 00 |................| -000004b0 f8 63 f9 e4 00 00 00 00 00 00 70 a5 94 bd 00 00 |.c........p.....| -000004c0 00 00 00 00 90 96 fb 6c 00 00 00 00 00 00 2a 10 |.......l......*.| -000004d0 30 67 00 00 00 00 00 00 9d 35 d7 1e 00 00 00 00 |0g.......5......| -000004e0 00 00 30 0a 08 ca 00 00 00 00 00 00 de 95 95 c3 |..0.............| -000004f0 00 00 00 00 00 00 79 e4 62 6f 00 00 00 00 00 00 |......y.bo......| +00000000 99 7b e2 8f fa 84 00 00 00 00 0f 89 c3 d8 ed 19 |.{..............| +00000010 00 00 00 00 e3 33 10 06 0b 9b 00 00 00 00 07 bd |.....3..........| +00000020 3c 4e 04 4a 00 00 00 00 21 c1 22 88 e9 2d 00 00 |}.........| +000002a0 c0 b1 51 51 00 00 00 00 6f 54 30 7f 19 36 00 00 |..QQ....oT0..6..| +000002b0 00 00 55 2f 76 84 30 2d 00 00 00 00 83 e4 3e 7e |..U/v.0-......>~| +000002c0 e2 e7 00 00 00 00 96 2d 98 ed 21 83 00 00 00 00 |.......-..!.....| +000002d0 03 ad fb 35 8d 1f 00 00 00 00 38 c9 71 bb 40 a1 |...5......8.q.@.| +000002e0 00 00 00 00 45 ab a8 1f c5 78 00 00 00 00 bf 0b |....E....x......| +000002f0 07 9e 3e d4 00 00 00 00 fb 01 15 74 dc a9 00 00 |..>........t....| +00000300 00 00 97 8f 6a fb 40 d0 00 00 00 00 29 86 81 97 |....j.@.....)...| +00000310 43 22 00 00 00 00 24 d9 52 d5 99 96 00 00 00 00 |C"....$.R.......| +00000320 41 43 46 e9 ef 40 00 00 00 00 19 2b 9b 86 f2 30 |ACF..@.....+...0| +00000330 00 00 00 00 c0 0e 41 72 d5 68 00 00 00 00 8f 69 |......Ar.h.....i| +00000340 bf 6a 87 3a 00 00 00 00 ed ae 52 ba cb 7d 00 00 |.j.:......R..}..| +00000350 00 00 1d 2a 3b cb ec 21 00 00 00 00 2a 54 99 5e |...*;..!....*T.^| +00000360 a8 19 00 00 00 00 98 44 b2 ed b1 7e 00 00 00 00 |.......D...~....| +00000370 87 1f 28 d0 23 ee 00 00 00 00 7f ba b0 19 dc ee |..(.#...........| +00000380 00 00 00 00 33 ec 73 f2 84 e1 00 00 00 00 78 4e |....3.s.......xN| +00000390 c9 f4 ef 58 00 00 00 00 19 14 42 be 90 36 00 00 |...X......B..6..| +000003a0 00 00 1a cd a6 2d 36 b3 00 00 00 00 2b ad 35 eb |.....-6.....+.5.| +000003b0 c0 4c 00 00 00 00 c9 c8 29 91 e3 ad 00 00 00 00 |.L......).......| +000003c0 d5 ad 0d 74 7d a2 00 00 00 00 88 36 95 38 59 87 |...t}......6.8Y.| +000003d0 00 00 00 00 9e 05 d8 4d 15 ed 00 00 00 00 41 c7 |.......M......A.| +000003e0 d4 c2 0c 6a 00 00 00 00 c7 b9 f7 43 ca 24 00 00 |...j.......C.$..| +000003f0 00 00 e3 7d e3 31 ac 77 00 00 00 00 f9 7c 9a 2c |...}.1.w.....|.,| +00000400 da f3 00 00 00 00 0c be ae a8 25 f3 00 00 00 00 |..........%.....| +00000410 19 e4 91 0a d3 87 00 00 00 00 10 9c 06 33 f7 76 |.............3.v| +00000420 00 00 00 00 fe 27 54 ca 9d c2 00 00 00 00 09 a5 |.....'T.........| +00000430 09 01 4f fc 00 00 00 00 55 45 c8 02 cf ce 00 00 |..O.....UE......| +00000440 00 00 72 31 f8 67 8a b4 00 00 00 00 4b dd ad c6 |..r1.g......K...| +00000450 b4 a2 00 00 00 00 6a a0 96 30 3d 7c 00 00 00 00 |......j..0=|....| +00000460 6b 6c 4d 82 3b 82 00 00 00 00 8c 8a de 6d d3 72 |klM.;........m.r| +00000470 00 00 00 00 c3 2e 16 82 a3 3c 00 00 00 00 54 d5 |.........<....T.| +00000480 f8 7e ba 1a 00 00 00 00 c5 f0 8d 63 ad 00 00 00 |.~.........c....| +00000490 00 00 11 d5 f5 a0 e8 9b 00 00 00 00 cd 1f 92 3e |...............>| +000004a0 ad 99 00 00 00 00 2b 9a 31 77 df 40 00 00 00 00 |......+.1w.@....| +000004b0 d6 54 e2 b1 dc cd 00 00 00 00 cd 80 8d a4 91 82 |.T..............| +000004c0 00 00 00 00 d2 28 b6 cf e0 35 00 00 00 00 25 67 |.....(...5....%g| +000004d0 10 80 4d b6 00 00 00 00 dd 0b d1 ef 8b 39 00 00 |..M..........9..| +000004e0 00 00 94 c3 3a ac 89 37 00 00 00 00 85 a9 c1 59 |....:..7.......Y| +000004f0 9d dd 00 00 00 00 f9 e7 30 5e 6c 45 00 00 00 00 |........0^lE....| BRAM data (bank 1): 80 x 128 @ 0x00000080; 10240 bits = 1280 bytes try 1: -00000000 70 23 ab a5 00 00 00 00 00 00 24 b8 89 ae 00 00 |p#........$.....| -00000010 00 00 00 00 42 c8 d8 23 00 00 00 00 00 00 da e2 |....B..#........| -00000020 39 73 00 00 00 00 00 00 7c 27 cc 37 00 00 00 00 |9s......|'.7....| -00000030 00 00 0c 03 b3 64 00 00 00 00 00 00 7c 71 97 0c |.....d......|q..| -00000040 00 00 00 00 00 00 38 eb 94 ba 00 00 00 00 00 00 |......8.........| -00000050 36 c9 87 64 00 00 00 00 00 00 a2 43 5d 2f 00 00 |6..d.......C]/..| -00000060 00 00 00 00 49 f8 cd 30 00 00 00 00 00 00 37 13 |....I..0......7.| -00000070 73 4a 00 00 00 00 00 00 5f 35 4f f0 00 00 00 00 |sJ......_5O.....| -00000080 00 00 4e 77 7d 03 00 00 00 00 00 00 41 04 22 ed |..Nw}.......A.".| -00000090 00 00 00 00 00 00 3c c9 21 5d 00 00 00 00 00 00 |......<.!]......| -000000a0 d0 93 97 cb 00 00 00 00 00 00 51 39 e8 98 00 00 |..........Q9....| -000000b0 00 00 00 00 ab d0 0f b7 00 00 00 00 00 00 87 e7 |................| -000000c0 6d eb 00 00 00 00 00 00 5f 68 6b d0 00 00 00 00 |m......._hk.....| -000000d0 00 00 00 23 0e cb 00 00 00 00 00 00 e0 90 ec 7a |...#...........z| -000000e0 00 00 00 00 00 00 d8 c5 da 1d 00 00 00 00 00 00 |................| -000000f0 3f fb f1 d3 00 00 00 00 00 00 72 22 09 c5 00 00 |?.........r"....| -00000100 00 00 00 00 e4 a4 c1 26 00 00 00 00 00 00 0c 10 |.......&........| -00000110 f5 6e 00 00 00 00 00 00 74 a1 43 65 00 00 00 00 |.n......t.Ce....| -00000120 00 00 57 e9 49 d7 00 00 00 00 00 00 bf 4e b9 3f |..W.I........N.?| -00000130 00 00 00 00 00 00 7c 51 a9 af 00 00 00 00 00 00 |......|Q........| -00000140 b8 2d d1 96 00 00 00 00 00 00 bb 28 65 12 00 00 |.-.........(e...| -00000150 00 00 00 00 3d 4a 38 32 00 00 00 00 00 00 cc 5f |....=J82......._| -00000160 69 37 00 00 00 00 00 00 fa c7 e7 85 00 00 00 00 |i7..............| -00000170 00 00 f2 3c bd be 00 00 00 00 00 00 e2 a7 69 e6 |...<..........i.| -00000180 00 00 00 00 00 00 64 53 b3 49 00 00 00 00 00 00 |......dS.I......| -00000190 da 63 d9 40 00 00 00 00 00 00 7d 7e 2e 9b 00 00 |.c.@......}~....| -000001a0 00 00 00 00 99 d3 41 37 00 00 00 00 00 00 65 cc |......A7......e.| -000001b0 f9 3c 00 00 00 00 00 00 59 28 ab 57 00 00 00 00 |.<......Y(.W....| -000001c0 00 00 de 3b 81 80 00 00 00 00 00 00 3b f8 84 f5 |...;........;...| -000001d0 00 00 00 00 00 00 98 f4 7b 92 00 00 00 00 00 00 |........{.......| -000001e0 be bf 42 0e 00 00 00 00 00 00 ba c1 04 55 00 00 |..B..........U..| -000001f0 00 00 00 00 88 19 d2 92 00 00 00 00 00 00 27 85 |..............'.| -00000200 02 e4 00 00 00 00 00 00 e2 94 83 0f 00 00 00 00 |................| -00000210 00 00 2e 20 94 27 00 00 00 00 00 00 23 19 ca 0d |... .'......#...| -00000220 00 00 00 00 00 00 4d aa e3 b6 00 00 00 00 00 00 |......M.........| -00000230 5c a8 9c 8a 00 00 00 00 00 00 52 51 0d e1 00 00 |\.........RQ....| -00000240 00 00 00 00 d3 3e 98 07 00 00 00 00 00 00 e8 3d |.....>.........=| -00000250 66 45 00 00 00 00 00 00 bb 10 78 2c 00 00 00 00 |fE........x,....| -00000260 00 00 80 3e 91 88 00 00 00 00 00 00 7f 59 68 b5 |...>.........Yh.| -00000270 00 00 00 00 00 00 98 e0 0e d5 00 00 00 00 00 00 |................| -00000280 93 be 6b d5 00 00 00 00 00 00 d3 c6 99 b2 00 00 |..k.............| -00000290 00 00 00 00 75 c5 21 6c 00 00 00 00 00 00 83 0e |....u.!l........| -000002a0 66 13 00 00 00 00 00 00 53 e8 4c 3c 00 00 00 00 |f.......S.L<....| -000002b0 00 00 9f c7 38 6c 00 00 00 00 00 00 ad 96 3c f4 |....8l........<.| -000002c0 00 00 00 00 00 00 93 ba b8 4b 00 00 00 00 00 00 |.........K......| -000002d0 67 d0 59 0f 00 00 00 00 00 00 b5 79 c6 8c 00 00 |g.Y........y....| -000002e0 00 00 00 00 c3 5d 2a d4 00 00 00 00 00 00 4d 0b |.....]*.......M.| -000002f0 bb 80 00 00 00 00 00 00 a0 0d 14 ad 00 00 00 00 |................| -00000300 00 00 9b 87 e6 b2 00 00 00 00 00 00 34 61 cd 44 |............4a.D| -00000310 00 00 00 00 00 00 49 67 af e6 00 00 00 00 00 00 |......Ig........| -00000320 f8 b5 a6 bf 00 00 00 00 00 00 31 20 b8 59 00 00 |..........1 .Y..| -00000330 00 00 00 00 15 d9 04 3b 00 00 00 00 00 00 f6 9c |.......;........| -00000340 55 13 00 00 00 00 00 00 d3 e5 08 ce 00 00 00 00 |U...............| -00000350 00 00 b6 67 a7 ec 00 00 00 00 00 00 b2 2b ab bf |...g.........+..| -00000360 00 00 00 00 00 00 15 cb 86 10 00 00 00 00 00 00 |................| -00000370 16 8a c2 10 00 00 00 00 00 00 78 1a 95 eb 00 00 |..........x.....| -00000380 00 00 00 00 7a bd 67 c2 00 00 00 00 00 00 ca fc |....z.g.........| -00000390 b0 5e 00 00 00 00 00 00 51 58 64 30 00 00 00 00 |.^......QXd0....| -000003a0 00 00 f6 43 a7 2c 00 00 00 00 00 00 27 9f 73 44 |...C.,......'.sD| -000003b0 00 00 00 00 00 00 8e 33 33 2b 00 00 00 00 00 00 |.......33+......| -000003c0 2d ca 9c 73 00 00 00 00 00 00 48 f0 bf 7e 00 00 |-..s......H..~..| -000003d0 00 00 00 00 a1 1f e0 b2 00 00 00 00 00 00 59 61 |..............Ya| -000003e0 62 16 00 00 00 00 00 00 41 ce c5 88 00 00 00 00 |b.......A.......| -000003f0 00 00 c1 94 98 05 00 00 00 00 00 00 e9 0c 89 d8 |................| -00000400 00 00 00 00 00 00 c4 5d 53 71 00 00 00 00 00 00 |.......]Sq......| -00000410 57 31 a8 a4 00 00 00 00 00 00 a6 31 a6 44 00 00 |W1.........1.D..| -00000420 00 00 00 00 ab 4a 56 f9 00 00 00 00 00 00 c8 fa |.....JV.........| -00000430 c3 b1 00 00 00 00 00 00 37 34 d8 a7 00 00 00 00 |........74......| -00000440 00 00 86 da f8 ec 00 00 00 00 00 00 85 c7 01 90 |................| -00000450 00 00 00 00 00 00 97 73 42 34 00 00 00 00 00 00 |.......sB4......| -00000460 8d f3 b2 d4 00 00 00 00 00 00 7d 3c 95 fc 00 00 |..........}<....| -00000470 00 00 00 00 8f 10 96 5f 00 00 00 00 00 00 ae 1b |......._........| -00000480 64 db 00 00 00 00 00 00 d9 df 89 0b 00 00 00 00 |d...............| -00000490 00 00 75 52 7d 9a 00 00 00 00 00 00 e2 6e 4c ca |..uR}........nL.| -000004a0 00 00 00 00 00 00 b9 13 b0 da 00 00 00 00 00 00 |................| -000004b0 58 93 cc 34 00 00 00 00 00 00 0f fd cb d0 00 00 |X..4............| -000004c0 00 00 00 00 e7 e2 c6 4d 00 00 00 00 00 00 cf 83 |.......M........| -000004d0 59 c7 00 00 00 00 00 00 2b 27 e1 95 00 00 00 00 |Y.......+'......| -000004e0 00 00 0a 4a 7e a3 00 00 00 00 00 00 28 2e f5 88 |...J~.......(...| -000004f0 00 00 00 00 00 00 c9 5f 0a c7 00 00 00 00 00 00 |......._........| +00000500 f9 d5 ae 57 38 57 00 00 00 00 81 c8 6e 05 d8 65 |...W8W......n..e| +00000510 00 00 00 00 62 66 1e a0 c4 88 00 00 00 00 cc 2b |....bf.........+| +00000520 ba 5d 01 2b 00 00 00 00 fd f3 2f 50 e7 30 00 00 |.].+....../P.0..| +00000530 00 00 ac ee f4 ef 9b c1 00 00 00 00 97 f6 e1 f3 |................| +00000540 51 61 00 00 00 00 fd b6 61 c0 76 2f 00 00 00 00 |Qa......a.v/....| +00000550 e0 7f 75 67 cc e1 00 00 00 00 7e 2b 2b 35 d2 43 |..ug......~++5.C| +00000560 00 00 00 00 3d fa 23 f5 cb c0 00 00 00 00 f1 3f |....=.#........?| +00000570 7c 37 8d b2 00 00 00 00 7e 23 a7 e3 64 d0 00 00 ||7......~#..d...| +00000580 00 00 93 fb 3b 65 43 84 00 00 00 00 ad 53 a8 3e |....;eC......S.>| +00000590 8e d6 00 00 00 00 b8 b0 84 71 c7 7f 00 00 00 00 |.........q......| +000005a0 d3 2e 31 03 18 09 00 00 00 00 3e b4 3e dc cb b3 |..1.......>.>...| +000005b0 00 00 00 00 3f 09 af 9b 1b 1a 00 00 00 00 33 23 |....?.........3#| +000005c0 27 79 06 81 00 00 00 00 f5 79 4a 87 50 3e 00 00 |'y.......yJ.P>..| +000005d0 00 00 1d f9 c7 ca 6f df 00 00 00 00 8b bd 87 30 |......o........0| +000005e0 f7 57 00 00 00 00 46 f3 46 56 28 8b 00 00 00 00 |.W....F.FV(.....| +000005f0 8f 2a f8 29 bb ae 00 00 00 00 11 81 3e 41 0a 68 |.*.)........>A.h| +00000600 00 00 00 00 71 cd d8 f1 c5 1c 00 00 00 00 ed 88 |....q...........| +00000610 4d 9d 32 dc 00 00 00 00 d5 be 68 17 11 d9 00 00 |M.2.......h.....| +00000620 00 00 f6 ce 7a 4d 6d f9 00 00 00 00 c9 73 12 fd |....zMm......s..| +00000630 79 11 00 00 00 00 d4 d4 42 15 3c c3 00 00 00 00 |y.......B.<.....| +00000640 c6 2a 54 21 91 e1 00 00 00 00 93 39 c1 25 bd 93 |.*T!.......9.%..| +00000650 00 00 00 00 c7 f4 aa 48 39 00 00 00 00 00 4f 61 |.......H9.....Oa| +00000660 1a 95 2b 94 00 00 00 00 e9 f3 15 93 04 bb 00 00 |..+.............| +00000670 00 00 96 9f 63 05 ad e8 00 00 00 00 91 65 12 25 |....c........e.%| +00000680 1a 1d 00 00 00 00 5f 06 3c 3f fa 6d 00 00 00 00 |......_.....e...'%| +000006f0 00 00 00 00 9a b5 38 62 f4 db 00 00 00 00 27 95 |......8b......'.| +00000700 d0 ae 8d 88 00 00 00 00 e5 36 04 a3 97 9e 00 00 |.........6......| +00000710 00 00 43 35 6d 24 82 28 00 00 00 00 5c a8 ea 56 |..C5m$.(....\..V| +00000720 1d 0c 00 00 00 00 79 35 d4 67 af 0b 00 00 00 00 |......y5.g......| +00000730 56 94 af 04 67 77 00 00 00 00 93 18 d3 c9 67 5c |V...gw........g\| +00000740 00 00 00 00 1b c7 f2 b0 0a 45 00 00 00 00 07 f0 |.........E......| +00000750 35 4e 6b 7a 00 00 00 00 b7 53 9a 6c ec d9 00 00 |5Nkz.....S.l....| +00000760 00 00 99 40 70 7d 24 73 00 00 00 00 f6 87 9a a8 |...@p}$s........| +00000770 d0 94 00 00 00 00 ee c6 03 9e 72 6c 00 00 00 00 |..........rl....| +00000780 1e 22 2a 87 4c 4f 00 00 00 00 39 9b c6 e9 5b fe |."*.LO....9...[.| +00000790 00 00 00 00 13 4c e0 8d 92 f0 00 00 00 00 9e 4a |.....L.........J| +000007a0 fd 32 49 d8 00 00 00 00 8c dd 47 a4 c0 2a 00 00 |.2I.......G..*..| +000007b0 00 00 34 c8 7e 04 d4 46 00 00 00 00 6e c5 67 48 |..4.~..F....n.gH| +000007c0 2b 35 00 00 00 00 8f 87 06 d4 00 e5 00 00 00 00 |+5..............| +000007d0 65 e1 52 89 f2 33 00 00 00 00 95 21 35 a6 96 28 |e.R..3.....!5..(| +000007e0 00 00 00 00 aa 05 9e 76 72 3f 00 00 00 00 46 f2 |.......vr?....F.| +000007f0 16 6b e2 c2 00 00 00 00 00 8a c1 c8 a3 80 00 00 |.k..............| +00000800 00 00 ee ba c9 ae 70 37 00 00 00 00 4b 7e 9f a1 |......p7....K~..| +00000810 0b c4 00 00 00 00 7e be 2b 8b 56 a2 00 00 00 00 |......~.+.V.....| +00000820 af d7 b5 02 30 ad 00 00 00 00 39 3e 9a d4 f6 04 |....0.....9>....| +00000830 00 00 00 00 c9 a9 75 28 c0 da 00 00 00 00 fb ce |......u(........| +00000840 d5 61 3c cf 00 00 00 00 4a 62 8e 50 62 d3 00 00 |.a<.....Jb.Pb...| +00000850 00 00 dc 55 79 ef 60 5b 00 00 00 00 78 90 b2 43 |...Uy.`[....x..C| +00000860 14 00 00 00 00 00 b7 e6 6d a6 1a 50 00 00 00 00 |........m..P....| +00000870 2e e1 40 7a fd 95 00 00 00 00 2a 09 c9 79 c0 7f |..@z......*..y..| +00000880 00 00 00 00 6d f4 41 db 4f 0a 00 00 00 00 3a e1 |....m.A.O.....:.| +00000890 98 88 ea 8a 00 00 00 00 12 e4 31 2c a0 88 00 00 |..........1,....| +000008a0 00 00 6b d2 fd 2b d3 99 00 00 00 00 c7 fc 78 93 |..k..+........x.| +000008b0 94 bd 00 00 00 00 22 d0 38 07 6f 7e 00 00 00 00 |......".8.o~....| +000008c0 09 cc 0f d8 b1 19 00 00 00 00 23 76 53 af a7 a1 |..........#vS...| +000008d0 00 00 00 00 18 ca 78 c0 78 64 00 00 00 00 0b 4e |......x.xd.....N| +000008e0 cc 7a 20 c4 00 00 00 00 12 48 19 81 e8 43 00 00 |.z ......H...C..| +000008f0 00 00 75 ed 6a 34 a1 5e 00 00 00 00 1d fd d2 4d |..u.j4.^.......M| +00000900 08 dd 00 00 00 00 22 fe 7c df 02 fd 00 00 00 00 |......".|.......| +00000910 a9 4b e2 68 58 3c 00 00 00 00 f9 e6 2d 02 af 5d |.K.hX<......-..]| +00000920 00 00 00 00 30 a3 f5 8e de df 00 00 00 00 5c ed |....0.........\.| +00000930 9c b3 79 ff 00 00 00 00 e0 1b b6 60 83 78 00 00 |..y........`.x..| +00000940 00 00 e8 b6 c2 dc b4 75 00 00 00 00 42 bd 70 11 |.......u....B.p.| +00000950 85 43 00 00 00 00 89 1b b8 16 52 6a 00 00 00 00 |.C........Rj....| +00000960 6e d8 0c 76 8e d2 00 00 00 00 0b b4 45 a9 76 7b |n..v........E.v{| +00000970 00 00 00 00 3d 02 c5 ae 2e 10 00 00 00 00 5a 0b |....=.........Z.| +00000980 35 7c 64 fb 00 00 00 00 96 65 4e 31 10 a0 00 00 |5|d......eN1....| +00000990 00 00 22 2f db ed c5 e1 00 00 00 00 ae b7 f3 e0 |.."/............| +000009a0 d4 f2 00 00 00 00 a6 42 e8 dc 1a e4 00 00 00 00 |.......B........| +000009b0 e5 10 cb 94 98 00 00 00 00 00 2b 13 86 ab 32 05 |..........+...2.| +000009c0 00 00 00 00 cd 3e 49 9e c4 cd 00 00 00 00 63 f0 |.....>I.......c.| +000009d0 a2 81 87 b5 00 00 00 00 ff 3e d8 dd 13 61 00 00 |.........>...a..| +000009e0 00 00 7d 44 cf 4a c1 9f 00 00 00 00 5d c1 65 a9 |..}D.J......].e.| +000009f0 62 d0 00 00 00 00 c2 cb 92 4a 06 18 00 00 00 00 |b........J......| BRAM data (bank 2): 160 x 128 @ 0x00000000; 20480 bits = 2560 bytes try 1: -00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000500 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000510 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000520 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000530 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000550 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000570 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000590 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000600 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000610 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000630 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000650 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000660 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000670 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000680 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000690 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000700 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000710 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000720 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000730 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000750 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000770 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000790 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000800 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000810 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000830 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000850 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000860 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000870 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000880 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000890 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000900 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000910 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000920 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000930 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000950 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000970 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000990 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000000 00 00 00 00 00 00 00 00 00 00 ff b7 17 e7 5b 53 |..............[S| +00000010 09 51 8f 14 00 00 00 00 00 00 00 00 00 00 e2 c2 |.Q..............| +00000020 19 e3 02 b5 df ec a7 25 00 00 00 00 00 00 00 00 |.......%........| +00000030 00 00 2d f7 7c 51 c6 6f 9f a8 bb 79 00 00 00 00 |..-.|Q.o...y....| +00000040 00 00 00 00 00 00 96 fa a3 d9 7c 20 4e 90 e0 99 |..........| N...| +00000050 00 00 00 00 00 00 00 00 00 00 ce b0 0a af 5a 84 |..............Z.| +00000060 13 13 66 ed 00 00 00 00 00 00 00 00 00 00 9a d1 |..f.............| +00000070 b2 9d f0 7e 37 79 2c e3 00 00 00 00 00 00 00 00 |...~7y,.........| +00000080 00 00 c3 ce e7 30 e6 2f f1 b9 42 56 00 00 00 00 |.....0./..BV....| +00000090 00 00 00 00 00 00 2d c8 4e 6e 7c 72 aa 20 81 c5 |......-.Nn|r. ..| +000000a0 00 00 00 00 00 00 00 00 00 00 6e b3 d1 f9 42 3d |..........n...B=| +000000b0 13 88 4c 08 00 00 00 00 00 00 00 00 00 00 95 e0 |..L.............| +000000c0 cf fe 88 30 09 56 6d ca 00 00 00 00 00 00 00 00 |...0.Vm.........| +000000d0 00 00 04 a7 c1 10 82 66 28 55 89 c8 00 00 00 00 |.......f(U......| +000000e0 00 00 00 00 00 00 89 78 4b fa ce 28 23 f2 a3 fd |.......xK..(#...| +000000f0 00 00 00 00 00 00 00 00 00 00 fb 8c bb 10 08 36 |...............6| +00000100 cd ab 45 65 00 00 00 00 00 00 00 00 00 00 5a 83 |..Ee..........Z.| +00000110 06 9a df c0 d9 c5 1c 6d 00 00 00 00 00 00 00 00 |.......m........| +00000120 00 00 5d 95 1c 72 49 bf 74 a4 38 dc 00 00 00 00 |..]..rI.t.8.....| +00000130 00 00 00 00 00 00 d8 09 25 c1 6d 08 ac 69 0d 88 |........%.m..i..| +00000140 00 00 00 00 00 00 00 00 00 00 12 f1 8b ad 9f 23 |...............#| +00000150 b5 f2 6a 16 00 00 00 00 00 00 00 00 00 00 08 57 |..j............W| +00000160 39 d7 48 c6 4d 33 81 77 00 00 00 00 00 00 00 00 |9.H.M3.w........| +00000170 00 00 61 87 fc 3d 44 d4 f2 04 38 2d 00 00 00 00 |..a..=D...8-....| +00000180 00 00 00 00 00 00 5c 85 8a c4 ab d8 7c 5a ff 72 |......\.....|Z.r| +00000190 00 00 00 00 00 00 00 00 00 00 74 67 8b 61 6f c5 |..........tg.ao.| +000001a0 cb 50 bf ee 00 00 00 00 00 00 00 00 00 00 23 b7 |.P............#.| +000001b0 4d d1 ab 24 bb f3 3c 4e 00 00 00 00 00 00 00 00 |M..$..Y.@.........| +000002b0 00 00 4e 56 27 2b db 6f d3 df 75 b9 00 00 00 00 |..NV'+.o..u.....| +000002c0 00 00 00 00 00 00 97 e9 99 30 ad 70 db 63 0e 58 |.........0.p.c.X| +000002d0 00 00 00 00 00 00 00 00 00 00 bd b9 25 25 b1 e5 |............%%..| +000002e0 20 57 23 16 00 00 00 00 00 00 00 00 00 00 1d fa | W#.............| +000002f0 7f f1 c3 5a b7 cb 7c a6 00 00 00 00 00 00 00 00 |...Z..|.........| +00000300 00 00 e9 46 ba 56 b3 70 8a 1f 85 f9 00 00 00 00 |...F.V.p........| +00000310 00 00 00 00 00 00 89 6d c4 53 0b 4b e2 9f b2 0c |.......m.S.K....| +00000320 00 00 00 00 00 00 00 00 00 00 fe 45 e8 2f 8e a3 |...........E./..| +00000330 72 bd 0e e0 00 00 00 00 00 00 00 00 00 00 bd 13 |r...............| +00000340 84 8b ad 31 96 87 39 58 00 00 00 00 00 00 00 00 |...1..9X........| +00000350 00 00 4a 6c ec 90 5d d0 5a 34 ff 06 00 00 00 00 |..Jl..].Z4......| +00000360 00 00 00 00 00 00 33 18 92 39 8d 17 e1 25 51 d8 |......3..9...%Q.| +00000370 00 00 00 00 00 00 00 00 00 00 97 9e 03 f1 74 43 |..............tC| +00000380 d7 ce bc 85 00 00 00 00 00 00 00 00 00 00 f7 b0 |................| +00000390 94 f5 46 16 77 80 39 5f 00 00 00 00 00 00 00 00 |..F.w.9_........| +000003a0 00 00 f9 13 14 aa 85 d3 ea ce 02 a7 00 00 00 00 |................| +000003b0 00 00 00 00 00 00 25 c9 8f 1e b9 39 b9 1b 30 c3 |......%....9..0.| +000003c0 00 00 00 00 00 00 00 00 00 00 e4 90 6f 88 3f 95 |............o.?.| +000003d0 a9 f2 18 7c 00 00 00 00 00 00 00 00 00 00 ca 33 |...|...........3| +000003e0 32 b3 7d 05 5f 1e 77 ef 00 00 00 00 00 00 00 00 |2.}._.w.........| +000003f0 00 00 63 b8 e3 5e b2 4c a8 02 35 a7 00 00 00 00 |..c..^.L..5.....| +00000400 00 00 00 00 00 00 eb c4 6f 26 9e e0 ba 26 53 e7 |........o&...&S.| +00000410 00 00 00 00 00 00 00 00 00 00 75 a8 13 a0 67 e7 |..........u...g.| +00000420 9f 89 17 8c 00 00 00 00 00 00 00 00 00 00 02 66 |...............f| +00000430 96 dc d9 85 bb d4 8a 3b 00 00 00 00 00 00 00 00 |.......;........| +00000440 00 00 07 c6 8a 96 a3 c2 e7 07 cd 3c 00 00 00 00 |...........<....| +00000450 00 00 00 00 00 00 74 25 fc 2b 19 93 a4 4a 1b bd |......t%.+...J..| +00000460 00 00 00 00 00 00 00 00 00 00 97 2f 02 45 87 46 |.........../.E.F| +00000470 c1 fd 50 ef 00 00 00 00 00 00 00 00 00 00 f9 6a |..P............j| +00000480 b0 b5 26 e6 ba 09 1c df 00 00 00 00 00 00 00 00 |..&.............| +00000490 00 00 e0 e7 64 db 50 b7 1b dc ac 9d 00 00 00 00 |....d.P.........| +000004a0 00 00 00 00 00 00 37 95 56 cc c2 c7 fa 15 17 e2 |......7.V.......| +000004b0 00 00 00 00 00 00 00 00 00 00 e5 4e 20 d8 62 1d |...........N .b.| +000004c0 1d ac 82 20 00 00 00 00 00 00 00 00 00 00 38 81 |... ..........8.| +000004d0 d6 b6 a0 58 30 c0 ba c4 00 00 00 00 00 00 00 00 |...X0...........| +000004e0 00 00 5f 55 ab c5 4b 0a 2d a8 8e db 00 00 00 00 |.._U..K.-.......| +000004f0 00 00 00 00 00 00 31 c6 70 37 55 08 ee a4 a5 8f |......1.p7U.....| +00000500 00 00 00 00 00 00 00 00 00 00 2e a0 ec e7 83 cd |................| +00000510 b9 7e 07 40 00 00 00 00 00 00 00 00 00 00 5f a7 |.~.@.........._.| +00000520 02 af e3 5a fc a6 91 da 00 00 00 00 00 00 00 00 |...Z............| +00000530 00 00 d3 88 6b d9 09 1e 37 52 79 b6 00 00 00 00 |....k...7Ry.....| +00000540 00 00 00 00 00 00 5f ca 01 e5 d1 5c f6 2f ce 73 |......_....\./.s| +00000550 00 00 00 00 00 00 00 00 00 00 2b 2a e0 df af 17 |..........+*....| +00000560 a9 47 c6 9a 00 00 00 00 00 00 00 00 00 00 11 4c |.G.............L| +00000570 66 af 38 48 bd 8c 8c 8c 00 00 00 00 00 00 00 00 |f.8H............| +00000580 00 00 37 f8 e4 e8 c3 cb ca 6e e0 bd 00 00 00 00 |..7......n......| +00000590 00 00 00 00 00 00 60 64 80 27 bd 8d 62 4d 58 35 |......`d.'..bMX5| +000005a0 00 00 00 00 00 00 00 00 00 00 73 a8 66 44 f5 3a |..........s.fD.:| +000005b0 31 a7 d0 59 00 00 00 00 00 00 00 00 00 00 5e 10 |1..Y..........^.| +000005c0 f7 d0 a7 2b d4 c2 cf 4f 00 00 00 00 00 00 00 00 |...+...O........| +000005d0 00 00 a4 eb 5f 5b bd c9 5b 23 77 d4 00 00 00 00 |...._[..[#w.....| +000005e0 00 00 00 00 00 00 c6 31 f9 f3 32 ec a6 41 96 dd |.......1..2..A..| +000005f0 00 00 00 00 00 00 00 00 00 00 93 91 24 4b 5a f7 |............$KZ.| +00000600 f3 1b 94 1a 00 00 00 00 00 00 00 00 00 00 b6 39 |...............9| +00000610 bf b4 82 ee 67 3f 0d 52 00 00 00 00 00 00 00 00 |....g?.R........| +00000620 00 00 a8 82 8e 1d ea 0a 3c c0 d3 19 00 00 00 00 |........<.......| +00000630 00 00 00 00 00 00 29 56 11 09 6e 48 e6 13 ce ff |......)V..nH....| +00000640 00 00 00 00 00 00 00 00 00 00 97 1a 82 63 d9 95 |.............c..| +00000650 60 24 b4 c8 00 00 00 00 00 00 00 00 00 00 50 1c |`$............P.| +00000660 df b2 cd 3b 29 86 0a 80 00 00 00 00 00 00 00 00 |...;)...........| +00000670 00 00 eb 68 fd 11 1f e7 a1 00 9e 6d 00 00 00 00 |...h.......m....| +00000680 00 00 00 00 00 00 bc cf 76 27 97 22 b6 ad 85 1c |........v'."....| +00000690 00 00 00 00 00 00 00 00 00 00 8e 22 ff 99 82 76 |..........."...v| +000006a0 c0 c8 3b cd 00 00 00 00 00 00 00 00 00 00 ea 53 |..;............S| +000006b0 95 d6 41 21 f2 f6 f8 a4 00 00 00 00 00 00 00 00 |..A!............| +000006c0 00 00 ae 74 1b 1a be 78 df 61 44 34 00 00 00 00 |...t...x.aD4....| +000006d0 00 00 00 00 00 00 77 82 75 11 07 94 1e 24 c5 50 |......w.u....$.P| +000006e0 00 00 00 00 00 00 00 00 00 00 23 de 9e 0b 17 a8 |..........#.....| +000006f0 df 73 ca 98 00 00 00 00 00 00 00 00 00 00 53 2d |.s............S-| +00000700 4d 89 a3 41 ee d1 7f de 00 00 00 00 00 00 00 00 |M..A............| +00000710 00 00 5f e8 a3 8c a4 9e 97 59 f7 b8 00 00 00 00 |.._......Y......| +00000720 00 00 00 00 00 00 be 9e 3c 66 0e 81 f6 2d f8 d2 |..........#............| +00000800 00 00 dd 03 e7 75 94 73 87 cf 91 d8 00 00 00 00 |.....u.s........| +00000810 00 00 00 00 00 00 15 f5 41 61 7d 1f 2a a9 9f 49 |........Aa}.*..I| +00000820 00 00 00 00 00 00 00 00 00 00 a7 78 55 55 91 95 |...........xUU..| +00000830 77 eb 1a 2e 00 00 00 00 00 00 00 00 00 00 a4 a1 |w...............| +00000840 2f 3c 8f 09 19 83 04 d1 00 00 00 00 00 00 00 00 |/<..............| +00000850 00 00 1f 65 b8 8c b1 a0 a7 82 f2 59 00 00 00 00 |...e.......Y....| +00000860 00 00 00 00 00 00 a8 32 0f 07 c4 97 7c 12 de 11 |.......2....|...| +00000870 00 00 00 00 00 00 00 00 00 00 53 7c 54 47 3b ac |..........S|TG;.| +00000880 94 fa 71 31 00 00 00 00 00 00 00 00 00 00 93 b1 |..q1............| +00000890 55 73 7f 0a 47 1a 4d 11 00 00 00 00 00 00 00 00 |Us..G.M.........| +000008a0 00 00 e2 5c 24 2f 5e 43 7e 85 18 2d 00 00 00 00 |...\$/^C~..-....| +000008b0 00 00 00 00 00 00 54 2e 50 41 9e af 2b 6a f2 b3 |......T.PA..+j..| +000008c0 00 00 00 00 00 00 00 00 00 00 b9 23 3f af 55 5e |...........#?.U^| +000008d0 db 7c e8 cd 00 00 00 00 00 00 00 00 00 00 9e 9a |.|..............| +000008e0 48 38 ae f5 f9 4e 87 8b 00 00 00 00 00 00 00 00 |H8...N..........| +000008f0 00 00 d0 24 84 1e 82 01 1e a7 7a d0 00 00 00 00 |...$......z.....| +00000900 00 00 00 00 00 00 82 05 ad 97 bb 51 ed 0a 10 ae |...........Q....| +00000910 00 00 00 00 00 00 00 00 00 00 eb c1 cc fd 9b ad |................| +00000920 51 98 ca b2 00 00 00 00 00 00 00 00 00 00 41 0f |Q.............A.| +00000930 35 99 34 98 05 cb 0b 56 00 00 00 00 00 00 00 00 |5.4....V........| +00000940 00 00 f1 47 bd b5 6f 2b 25 57 0c 95 00 00 00 00 |...G..o+%W......| +00000950 00 00 00 00 00 00 8e d4 35 36 de 93 53 cf db b6 |........56..S...| +00000960 00 00 00 00 00 00 00 00 00 00 79 7c e1 0d b1 12 |..........y|....| +00000970 d3 0a a6 c5 00 00 00 00 00 00 00 00 00 00 c7 11 |................| +00000980 c9 fe 0a 46 4e 2b d9 07 00 00 00 00 00 00 00 00 |...FN+..........| +00000990 00 00 67 24 2a 93 a2 31 dd 06 14 19 00 00 00 00 |..g$*..1........| +000009a0 00 00 00 00 00 00 a9 0b 45 46 00 49 65 3e 46 03 |........EF.Ie>F.| +000009b0 00 00 00 00 00 00 00 00 00 00 23 c6 7f 56 98 b7 |..........#..V..| +000009c0 98 09 54 f9 00 00 00 00 00 00 00 00 00 00 c6 a2 |..T.............| +000009d0 8d d1 7c 38 bb b8 26 fc 00 00 00 00 00 00 00 00 |..|8..&.........| +000009e0 00 00 a0 87 d6 da 36 c9 87 34 c1 b0 00 00 00 00 |......6..4......| +000009f0 00 00 00 00 00 00 1b 43 52 51 37 2a c9 ba db d1 |.......CRQ7*....| BRAM data (bank 2): 160 x 128 @ 0x00000080; 20480 bits = 2560 bytes try 1: -00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000500 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000510 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000520 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000530 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000550 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000570 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000590 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000005f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000600 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000610 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000630 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000650 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000660 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000670 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000680 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000690 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000006f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000700 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000710 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000720 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000730 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000750 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000770 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000790 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000007f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000800 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000810 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000830 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000850 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000860 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000870 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000880 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000890 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000008f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000900 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000910 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000920 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000930 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000950 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000970 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000990 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000009f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000a00 00 00 00 00 00 00 00 00 00 00 21 71 68 76 50 92 |..........!qhvP.| +00000a10 86 96 0a a5 00 00 00 00 00 00 00 00 00 00 fb 1e |................| +00000a20 0f b5 9a af 9e ae ee 7a 00 00 00 00 00 00 00 00 |.......z........| +00000a30 00 00 c4 c3 9e c7 d7 bc 38 fa 90 7c 00 00 00 00 |........8..|....| +00000a40 00 00 00 00 00 00 24 a7 ae 83 db 9e 1d 23 3c 35 |......$......#<5| +00000a50 00 00 00 00 00 00 00 00 00 00 c9 fb 28 f7 90 86 |............(...| +00000a60 9c 33 b3 b1 00 00 00 00 00 00 00 00 00 00 75 4c |.3............uL| +00000a70 74 68 3c 60 55 be 1a ce 00 00 00 00 00 00 00 00 |th<`U...........| +00000a80 00 00 cb 38 44 87 f9 2f b4 08 c2 15 00 00 00 00 |...8D../........| +00000a90 00 00 00 00 00 00 6a 9a 6b 64 53 3e 32 9f 5e 21 |......j.kdS>2.^!| +00000aa0 00 00 00 00 00 00 00 00 00 00 99 2c fd 13 67 f4 |...........,..g.| +00000ab0 95 9e 94 d4 00 00 00 00 00 00 00 00 00 00 87 87 |................| +00000ac0 c9 c8 c5 1c 84 9a 2d 3e 00 00 00 00 00 00 00 00 |......->........| +00000ad0 00 00 e4 60 9e 8b e7 53 dc 47 15 cd 00 00 00 00 |...`...S.G......| +00000ae0 00 00 00 00 00 00 0a 5e 23 c4 0c d5 11 c0 9f 10 |.......^#.......| +00000af0 00 00 00 00 00 00 00 00 00 00 9c e4 48 56 44 d7 |............HVD.| +00000b00 4b e3 a9 12 00 00 00 00 00 00 00 00 00 00 cc 63 |K..............c| +00000b10 e4 40 c9 bf 70 90 37 ac 00 00 00 00 00 00 00 00 |.@..p.7.........| +00000b20 00 00 3b 45 c8 ad 98 20 87 f2 ff d2 00 00 00 00 |..;E... ........| +00000b30 00 00 00 00 00 00 ab f5 1d b4 a7 18 6d e9 75 95 |............m.u.| +00000b40 00 00 00 00 00 00 00 00 00 00 fe 43 83 91 f2 0d |...........C....| +00000b50 ff f0 c1 ee 00 00 00 00 00 00 00 00 00 00 1a 58 |...............X| +00000b60 46 24 20 87 4a cd 73 6f 00 00 00 00 00 00 00 00 |F$ .J.so........| +00000b70 00 00 c9 4f 6e 3f 2b a9 9e e7 09 04 00 00 00 00 |...On?+.........| +00000b80 00 00 00 00 00 00 8a cf cd 04 17 e2 8f ce 91 c0 |................| +00000b90 00 00 00 00 00 00 00 00 00 00 34 b0 81 d7 e5 56 |..........4....V| +00000ba0 ef 65 ac 8e 00 00 00 00 00 00 00 00 00 00 aa 67 |.e.............g| +00000bb0 b1 e4 e8 0e 57 2c bd 55 00 00 00 00 00 00 00 00 |....W,.U........| +00000bc0 00 00 22 c2 32 75 4a e1 35 33 6b 5f 00 00 00 00 |..".2uJ.53k_....| +00000bd0 00 00 00 00 00 00 d3 05 6c fa 67 ac 34 75 bc ed |........l.g.4u..| +00000be0 00 00 00 00 00 00 00 00 00 00 30 7b 63 c7 f7 7b |..........0{c..{| +00000bf0 83 35 e0 b5 00 00 00 00 00 00 00 00 00 00 a1 e9 |.5..............| +00000c00 45 91 fc 72 9b 8c 1e 4d 00 00 00 00 00 00 00 00 |E..r...M........| +00000c10 00 00 21 a2 87 36 a6 12 f8 ba c5 52 00 00 00 00 |..!..6.....R....| +00000c20 00 00 00 00 00 00 47 96 d1 40 30 79 cd c6 1f cd |......G..@0y....| +00000c30 00 00 00 00 00 00 00 00 00 00 c1 39 b3 84 26 ea |...........9..&.| +00000c40 95 22 a0 5f 00 00 00 00 00 00 00 00 00 00 cd 3f |."._...........?| +00000c50 e7 7d 4b b6 33 0d 85 ed 00 00 00 00 00 00 00 00 |.}K.3...........| +00000c60 00 00 63 1f 05 f0 e5 b8 c4 3f 6b 07 00 00 00 00 |..c......?k.....| +00000c70 00 00 00 00 00 00 d7 9b a7 0e f9 75 ee 4e 02 2d |...........u.N.-| +00000c80 00 00 00 00 00 00 00 00 00 00 15 b2 ff 9c 40 d6 |..............@.| +00000c90 32 91 d9 30 00 00 00 00 00 00 00 00 00 00 80 02 |2..0............| +00000ca0 44 00 1c 32 14 39 97 38 00 00 00 00 00 00 00 00 |D..2.9.8........| +00000cb0 00 00 48 9a 53 4d 79 80 dc 0f 01 21 00 00 00 00 |..H.SMy....!....| +00000cc0 00 00 00 00 00 00 39 eb 47 9c d1 55 5c 1f fa 98 |......9.G..U\...| +00000cd0 00 00 00 00 00 00 00 00 00 00 19 55 ac c2 b3 34 |...........U...4| +00000ce0 2a e0 16 06 00 00 00 00 00 00 00 00 00 00 23 c2 |*.............#.| +00000cf0 a6 e9 f0 5b ce 8f 3f 97 00 00 00 00 00 00 00 00 |...[..?.........| +00000d00 00 00 85 6a c0 76 9a 82 1b d2 f1 7d 00 00 00 00 |...j.v.....}....| +00000d10 00 00 00 00 00 00 9e 55 65 8c a1 3d fd c8 89 6c |.......Ue..=...l| +00000d20 00 00 00 00 00 00 00 00 00 00 5c 90 11 42 95 5a |..........\..B.Z| +00000d30 c9 cc 9d 5a 00 00 00 00 00 00 00 00 00 00 06 e3 |...Z............| +00000d40 72 7a 79 1b 36 49 f3 24 00 00 00 00 00 00 00 00 |rzy.6I.$........| +00000d50 00 00 ed 7f be f8 7b 01 60 e3 ae e7 00 00 00 00 |......{.`.......| +00000d60 00 00 00 00 00 00 6b 74 58 0e 7b 80 54 bb 1a 8e |......ktX.{.T...| +00000d70 00 00 00 00 00 00 00 00 00 00 1d 0f 36 96 b0 22 |............6.."| +00000d80 c9 1d 40 89 00 00 00 00 00 00 00 00 00 00 78 fc |..@...........x.| +00000d90 09 87 20 6f 96 64 17 7b 00 00 00 00 00 00 00 00 |.. o.d.{........| +00000da0 00 00 2d 01 b4 55 2b 47 a7 1f e9 40 00 00 00 00 |..-..U+G...@....| +00000db0 00 00 00 00 00 00 9c ae 93 2f 6f b7 7a 21 34 d3 |........./o.z!4.| +00000dc0 00 00 00 00 00 00 00 00 00 00 1b 52 3b 53 22 53 |...........R;S"S| +00000dd0 5c 70 c3 61 00 00 00 00 00 00 00 00 00 00 5d e5 |\p.a..........].| +00000de0 04 83 ef 00 81 99 80 94 00 00 00 00 00 00 00 00 |................| +00000df0 00 00 a4 2e 83 d6 44 45 0a 8d 58 25 00 00 00 00 |......DE..X%....| +00000e00 00 00 00 00 00 00 8d b8 59 d2 aa 00 87 5a 64 2e |........Y....Zd.| +00000e10 00 00 00 00 00 00 00 00 00 00 1b 9b 83 3f be b1 |.............?..| +00000e20 38 64 f5 1c 00 00 00 00 00 00 00 00 00 00 e5 af |8d..............| +00000e30 c7 89 30 4a 3c 76 56 38 00 00 00 00 00 00 00 00 |..0J.K.............| +00000e80 80 aa bd b9 fb 72 ac e1 00 00 00 00 00 00 00 00 |.....r..........| +00000e90 00 00 95 47 40 e9 2c cb a0 1c 6a 82 00 00 00 00 |...G@.,...j.....| +00000ea0 00 00 00 00 00 00 35 fd 9e 9e 18 f7 19 7c 00 a6 |......5......|..| +00000eb0 00 00 00 00 00 00 00 00 00 00 33 d8 70 b7 e8 d5 |..........3.p...| +00000ec0 74 22 bc 5d 00 00 00 00 00 00 00 00 00 00 ca 58 |t".]...........X| +00000ed0 72 11 90 63 f6 f4 9b a5 00 00 00 00 00 00 00 00 |r..c............| +00000ee0 00 00 11 01 05 6c d1 85 be 6f 66 18 00 00 00 00 |.....l...of.....| +00000ef0 00 00 00 00 00 00 ad 79 86 b8 db 62 d3 f9 e8 d7 |.......y...b....| +00000f00 00 00 00 00 00 00 00 00 00 00 51 95 ba d1 66 0b |..........Q...f.| +00000f10 cb 95 21 3d 00 00 00 00 00 00 00 00 00 00 64 5e |..!=..........d^| +00000f20 4d 47 7b c0 c6 9f a3 43 00 00 00 00 00 00 00 00 |MG{....C........| +00000f30 00 00 3b ec 7b cf f7 10 d9 62 e6 7d 00 00 00 00 |..;.{....b.}....| +00000f40 00 00 00 00 00 00 8c f3 5c 02 c0 50 bc 9d f0 c7 |........\..P....| +00000f50 00 00 00 00 00 00 00 00 00 00 cf 88 09 db a3 c2 |................| +00000f60 78 eb 97 6e 00 00 00 00 00 00 00 00 00 00 1f ec |x..n............| +00000f70 41 9a 17 58 75 e6 90 64 00 00 00 00 00 00 00 00 |A..Xu..d........| +00000f80 00 00 59 3c 4c 51 b6 ad 6b 9b c0 8a 00 00 00 00 |..Y............| +000010b0 f5 80 bd fe bf be 51 14 00 00 00 00 00 00 00 00 |......Q.........| +000010c0 00 00 c7 97 d7 3c 38 7e 22 ff 6b 39 00 00 00 00 |.....<8~".k9....| +000010d0 00 00 00 00 00 00 a0 c0 ba 6e 63 38 80 7d fe 9b |.........nc8.}..| +000010e0 00 00 00 00 00 00 00 00 00 00 18 7c 28 3c 32 18 |...........|(<2.| +000010f0 90 69 c6 df 00 00 00 00 00 00 00 00 00 00 6e fb |.i............n.| +00001100 cf 10 f0 79 4f b2 aa c5 00 00 00 00 00 00 00 00 |...yO...........| +00001110 00 00 60 42 56 c0 f2 8f 37 34 b1 e6 00 00 00 00 |..`BV...74......| +00001120 00 00 00 00 00 00 6f d6 0a cb 2b ef c5 b5 72 fa |......o...+...r.| +00001130 00 00 00 00 00 00 00 00 00 00 e8 b4 91 49 cd 7d |.............I.}| +00001140 a4 7f 56 c1 00 00 00 00 00 00 00 00 00 00 13 38 |..V............8| +00001150 cb 87 91 90 40 66 5b 7f 00 00 00 00 00 00 00 00 |....@f[.........| +00001160 00 00 6d c0 6e 94 f2 59 8d 8c 7b 3c 00 00 00 00 |..m.n..Y..{<....| +00001170 00 00 00 00 00 00 32 c7 8f a6 c0 c3 a0 b6 50 89 |......2.......P.| +00001180 00 00 00 00 00 00 00 00 00 00 f8 af 54 7b c3 14 |............T{..| +00001190 3d 8b 00 f4 00 00 00 00 00 00 00 00 00 00 03 c6 |=...............| +000011a0 1f a1 9f 23 05 8b 1e 6d 00 00 00 00 00 00 00 00 |...#...m........| +000011b0 00 00 bc ce b5 18 d0 79 7a 23 e5 df 00 00 00 00 |.......yz#......| +000011c0 00 00 00 00 00 00 49 76 2c b4 a1 86 b0 ed 32 01 |......Iv,.....2.| +000011d0 00 00 00 00 00 00 00 00 00 00 e2 2c e2 97 fb 3c |...........,...<| +000011e0 3e c0 7a e5 00 00 00 00 00 00 00 00 00 00 b9 45 |>.z............E| +000011f0 b3 8d 8e b5 d8 a8 fd 95 00 00 00 00 00 00 00 00 |................| +00001200 00 00 ae d0 20 74 6c e0 6f dd 8b b8 00 00 00 00 |.... tl.o.......| +00001210 00 00 00 00 00 00 b4 95 2d 87 11 8d 49 6b d8 5d |........-...Ik.]| +00001220 00 00 00 00 00 00 00 00 00 00 45 48 2a 1f 74 6f |..........EH*.to| +00001230 ee ee ab 44 00 00 00 00 00 00 00 00 00 00 89 78 |...D...........x| +00001240 73 26 1c f7 25 28 79 a4 00 00 00 00 00 00 00 00 |s&..%(y.........| +00001250 00 00 de d5 22 33 51 d0 f7 23 60 a8 00 00 00 00 |...."3Q..#`.....| +00001260 00 00 00 00 00 00 44 85 fd f5 17 e3 32 83 0f 54 |......D.....2..T| +00001270 00 00 00 00 00 00 00 00 00 00 9d 4f 7f 44 d4 5b |...........O.D.[| +00001280 fe 66 4b 86 00 00 00 00 00 00 00 00 00 00 63 ac |.fK...........c.| +00001290 b8 ab 5b fd 6f ba 68 ca 00 00 00 00 00 00 00 00 |..[.o.h.........| +000012a0 00 00 1c c4 89 8e 3f 68 26 9d 74 11 00 00 00 00 |......?h&.t.....| +000012b0 00 00 00 00 00 00 89 50 0b d4 f1 d3 80 e7 0d 9e |.......P........| +000012c0 00 00 00 00 00 00 00 00 00 00 29 14 30 7e ab 1f |..........).0~..| +000012d0 f3 1d 1d da 00 00 00 00 00 00 00 00 00 00 13 ec |................| +000012e0 09 09 08 fb 8f 73 ff e3 00 00 00 00 00 00 00 00 |.....s..........| +000012f0 00 00 9b 33 4a 50 f0 cd 2d 51 5f b2 00 00 00 00 |...3JP..-Q_.....| +00001300 00 00 00 00 00 00 02 b3 3a e4 08 9d db d9 ba 9e |........:.......| +00001310 00 00 00 00 00 00 00 00 00 00 36 ab 18 62 13 61 |..........6..b.a| +00001320 d4 7c 39 03 00 00 00 00 00 00 00 00 00 00 2e 8a |.|9.............| +00001330 f7 e5 89 85 5a 79 d5 b5 00 00 00 00 00 00 00 00 |....Zy..........| +00001340 00 00 02 a2 4d 33 bd be 5b 8c 31 71 00 00 00 00 |....M3..[.1q....| +00001350 00 00 00 00 00 00 46 96 5d 9e 3c 11 33 11 c2 64 |......F.].<.3..d| +00001360 00 00 00 00 00 00 00 00 00 00 95 50 cd 70 b2 41 |...........P.p.A| +00001370 94 47 d5 ad 00 00 00 00 00 00 00 00 00 00 b8 5c |.G.............\| +00001380 79 6f f3 cb d7 e5 c9 60 00 00 00 00 00 00 00 00 |yo.....`........| +00001390 00 00 cb c5 7c 03 3f 06 45 58 54 48 00 00 00 00 |....|.?.EXTH....| +000013a0 00 00 00 00 00 00 41 9f 0f 44 ea 6c d7 d0 0b 1d |......A..D.l....| +000013b0 00 00 00 00 00 00 00 00 00 00 d1 99 af 5f d4 66 |............._.f| +000013c0 ae 75 bc d9 00 00 00 00 00 00 00 00 00 00 e8 57 |.u.............W| +000013d0 cb 7d d5 04 f6 9a d9 d0 00 00 00 00 00 00 00 00 |.}..............| +000013e0 00 00 52 dc 4d d9 00 d6 3a 24 d7 16 00 00 00 00 |..R.M...:$......| +000013f0 00 00 00 00 00 00 81 17 f7 93 e1 5d df f8 47 38 |...........]..G8| BRAM data (bank 3): 80 x 128 @ 0x00000000; 10240 bits = 1280 bytes try 1: -00000000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000010 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000030 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000050 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000090 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000000f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000110 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000130 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000150 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000170 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000190 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000001f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000210 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000230 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000250 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000270 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000290 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000310 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000330 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000370 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000390 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000003f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000430 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000450 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000470 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -00000490 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| -000004f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000000 84 a3 e3 8d 0c 63 00 00 00 00 19 9c 44 04 12 c3 |.....c......D...| +00000010 00 00 00 00 ca 76 30 08 f8 cc 00 00 00 00 8a 06 |.....v0.........| +00000020 ea 19 aa 2f 00 00 00 00 06 5f e8 fb 0f 25 00 00 |.../....._...%..| +00000030 00 00 53 6f c6 fc 27 68 00 00 00 00 23 01 6d 18 |..So..'h....#.m.| +00000040 8a 61 00 00 00 00 05 34 df d6 0a ea 00 00 00 00 |.a.....4........| +00000050 0d 81 6c 83 eb 46 00 00 00 00 c5 45 df f8 ab b3 |..l..F.....E....| +00000060 00 00 00 00 1c 4c f1 87 03 da 00 00 00 00 d8 59 |.....L.........Y| +00000070 c6 17 92 c2 00 00 00 00 a6 e2 8c 34 e7 72 00 00 |...........4.r..| +00000080 00 00 2d fa 32 1f 39 46 00 00 00 00 2a cb 11 7a |..-.2.9F....*..z| +00000090 3d 8d 00 00 00 00 db 0c 7b 0c 82 51 00 00 00 00 |=.......{..Q....| +000000a0 0b 71 26 dd 3e 93 00 00 00 00 35 a1 1e 70 fb 9f |.q&.>.....5..p..| +000000b0 00 00 00 00 45 62 20 a9 59 fd 00 00 00 00 d6 50 |....Eb .Y......P| +000000c0 c7 88 9a 6e 00 00 00 00 58 51 8c 61 43 55 00 00 |...n....XQ.aCU..| +000000d0 00 00 66 4b 97 67 54 19 00 00 00 00 00 c6 16 db |..fK.gT.........| +000000e0 c4 19 00 00 00 00 8e 5c 49 3b 71 b2 00 00 00 00 |.......\I;q.....| +000000f0 8c 0f 26 39 8f 3a 00 00 00 00 a2 5e d1 f1 0e d4 |..&9.:.....^....| +00000100 00 00 00 00 ab e9 66 c7 af 6f 00 00 00 00 08 59 |......f..o.....Y| +00000110 8e 2a ae 61 00 00 00 00 ac 49 47 72 b1 b7 00 00 |.*.a.....IGr....| +00000120 00 00 ce 47 c1 a9 65 ac 00 00 00 00 19 3a 0e 4c |...G..e......:.L| +00000130 38 21 00 00 00 00 91 3e 28 80 96 ab 00 00 00 00 |8!.....>(.......| +00000140 79 46 5d a4 f7 0e 00 00 00 00 da 65 c8 e7 91 24 |yF]........e...$| +00000150 00 00 00 00 41 d3 35 91 62 ca 00 00 00 00 92 e2 |....A.5.b.......| +00000160 5d 56 e9 67 00 00 00 00 6e 49 d3 f8 91 86 00 00 |]V.g....nI......| +00000170 00 00 fe 5d ae 00 44 62 00 00 00 00 6b e2 61 9b |...]..Db....k.a.| +00000180 9e a4 00 00 00 00 bc 1b cc d7 7e 13 00 00 00 00 |..........~.....| +00000190 ab 95 a3 4b 56 f5 00 00 00 00 40 d8 64 94 38 9e |...KV.....@.d.8.| +000001a0 00 00 00 00 2e ba 19 22 60 44 00 00 00 00 37 b6 |......."`D....7.| +000001b0 fd db 97 e1 00 00 00 00 f9 62 e1 49 e5 7b 00 00 |.........b.I.{..| +000001c0 00 00 10 f9 7e 2d 19 01 00 00 00 00 ab 35 9d 0a |....~-.......5..| +000001d0 26 70 00 00 00 00 f0 f2 d2 75 00 48 00 00 00 00 |&p.......u.H....| +000001e0 25 78 68 27 af 35 00 00 00 00 66 fd 4d 95 28 1b |%xh'.5....f.M.(.| +000001f0 00 00 00 00 a0 3e 82 21 c8 2b 00 00 00 00 4c 12 |.....>.!.+....L.| +00000200 02 57 b4 02 00 00 00 00 32 80 6c a4 17 20 00 00 |.W......2.l.. ..| +00000210 00 00 84 6d 54 5b e8 4b 00 00 00 00 f3 01 b0 43 |...mT[.K.......C| +00000220 b7 36 00 00 00 00 f9 00 70 7d 50 09 00 00 00 00 |.6......p}P.....| +00000230 02 a9 5d 08 7a 16 00 00 00 00 c9 a2 c1 45 0d 4e |..].z........E.N| +00000240 00 00 00 00 15 ca f7 17 0a 04 00 00 00 00 b0 b5 |................| +00000250 49 25 6d fc 00 00 00 00 6e b9 c1 1c 88 70 00 00 |I%m.....n....p..| +00000260 00 00 3a a3 c8 5e 9b 32 00 00 00 00 0e 03 9d 1d |..:..^.2........| +00000270 fb 86 00 00 00 00 be cc 48 1d 70 34 00 00 00 00 |........H.p4....| +00000280 98 e9 e8 7f da 76 00 00 00 00 fc 1d f2 b4 81 f0 |.....v..........| +00000290 00 00 00 00 8c 92 10 89 4a 82 00 00 00 00 cd 47 |........J......G| +000002a0 09 17 45 67 00 00 00 00 7c 80 4d a3 29 e3 00 00 |..Eg....|.M.)...| +000002b0 00 00 8d 8b 4c a1 c5 3b 00 00 00 00 82 d1 0f 8b |....L..;........| +000002c0 f1 19 00 00 00 00 67 e4 ad d0 b2 49 00 00 00 00 |......g....I....| +000002d0 38 31 26 94 c7 c2 00 00 00 00 23 04 d4 aa a9 d9 |81&.......#.....| +000002e0 00 00 00 00 e3 0f 18 66 7b ab 00 00 00 00 f9 2b |.......f{......+| +000002f0 4b d8 b8 a5 00 00 00 00 f0 a0 ed b4 b2 c8 00 00 |K...............| +00000300 00 00 04 78 42 00 4f 2c 00 00 00 00 5a da 2a b7 |...xB.O,....Z.*.| +00000310 dc 14 00 00 00 00 a7 30 aa 43 34 56 00 00 00 00 |.......0.C4V....| +00000320 6e e7 00 b3 63 ef 00 00 00 00 12 2c 55 1a 18 24 |n...c......,U..$| +00000330 00 00 00 00 4a 77 53 91 78 0d 00 00 00 00 2d 16 |....JwS.x.....-.| +00000340 43 54 6e 95 00 00 00 00 29 78 06 e8 2c be 00 00 |CTn.....)x..,...| +00000350 00 00 9b 07 73 32 69 03 00 00 00 00 8b e4 df 6b |....s2i........k| +00000360 82 e4 00 00 00 00 82 9e e4 99 66 58 00 00 00 00 |..........fX....| +00000370 e6 f3 12 7d 72 bd 00 00 00 00 db 88 3f ff 6b 60 |...}r.......?.k`| +00000380 00 00 00 00 89 15 04 cc 7e c2 00 00 00 00 a9 c9 |........~.......| +00000390 e4 fd 10 ad 00 00 00 00 91 19 c0 17 c7 c8 00 00 |................| +000003a0 00 00 d9 25 27 6a ee ec 00 00 00 00 9d 7d a3 e6 |...%'j.......}..| +000003b0 8d 26 00 00 00 00 eb af 0e 65 05 40 00 00 00 00 |.&.......e.@....| +000003c0 13 ad 0f 51 3c 08 00 00 00 00 0e 64 bf f7 7d 80 |...Q<......d..}.| +000003d0 00 00 00 00 a3 f6 3c f5 37 4b 00 00 00 00 e0 2c |......<.7K.....,| +000003e0 95 a9 49 5e 00 00 00 00 d0 25 77 76 f4 80 00 00 |..I^.....%wv....| +000003f0 00 00 de 26 b4 60 33 81 00 00 00 00 3c 62 6b d9 |...&.`3............q9.4...| +000006c0 00 00 d9 18 1b 8d 59 76 00 00 00 00 5f 9c 60 eb |......Yv...._.`.| +000006d0 f4 f6 00 00 00 00 fa 7d 0e f1 cd ea 00 00 00 00 |.......}........| +000006e0 06 7a 62 0f 35 c9 00 00 00 00 c7 a7 c6 27 1b a7 |.zb.5........'..| +000006f0 00 00 00 00 e5 b6 52 ac 36 1d 00 00 00 00 7f 5a |......R.6......Z| +00000700 74 0a 6e 45 00 00 00 00 a5 41 cb 7e 36 d9 00 00 |t.nE.....A.~6...| +00000710 00 00 2e 5f 6c 5e b9 c5 00 00 00 00 bf 9c 84 fa |..._l^..........| +00000720 36 c2 00 00 00 00 82 cc 49 ac c0 47 00 00 00 00 |6.......I..G....| +00000730 ee 61 9d 19 4d 14 00 00 00 00 9c ec d1 2d 1e ce |.a..M........-..| +00000740 00 00 00 00 f9 76 77 8d dc 8d 00 00 00 00 b2 47 |.....vw........G| +00000750 0f f6 19 19 00 00 00 00 eb 2b 82 c3 ee 6c 00 00 |.........+...l..| +00000760 00 00 9a 41 46 78 b9 31 00 00 00 00 61 fb f9 bb |...AFx.1....a...| +00000770 55 a9 00 00 00 00 6f 2a 56 69 6a ae 00 00 00 00 |U.....o*Vij.....| +00000780 66 3d 7a cd 8d 16 00 00 00 00 b4 7a 8b ed d9 29 |f=z........z...)| +00000790 00 00 00 00 17 65 c5 0f 28 d2 00 00 00 00 6e 6c |.....e..(.....nl| +000007a0 52 fc 29 f9 00 00 00 00 14 3a 11 f1 1a bd 00 00 |R.)......:......| +000007b0 00 00 3a a2 02 c1 1f d4 00 00 00 00 76 96 52 8a |..:.........v.R.| +000007c0 8b 26 00 00 00 00 e1 71 ce 61 ef 18 00 00 00 00 |.&.....q.a......| +000007d0 ee 08 21 52 30 ca 00 00 00 00 6a 7a 5a 33 ac 3c |..!R0.....jzZ3.<| +000007e0 00 00 00 00 65 01 4f f8 90 c9 00 00 00 00 c9 27 |....e.O........'| +000007f0 b5 64 e7 17 00 00 00 00 b1 92 32 5a 11 87 00 00 |.d........2Z....| +00000800 00 00 a3 37 c2 01 c1 4c 00 00 00 00 11 b7 80 f3 |...7...L........| +00000810 e8 f6 00 00 00 00 d3 74 9d b4 29 5c 00 00 00 00 |.......t..)\....| +00000820 4e aa 3b 0b e6 fb 00 00 00 00 b0 51 98 03 2d 22 |N.;........Q..-"| +00000830 00 00 00 00 2e af 1c 1d 2a 72 00 00 00 00 6a 69 |........*r....ji| +00000840 f7 97 2b 95 00 00 00 00 93 d5 b3 59 de c5 00 00 |..+........Y....| +00000850 00 00 01 81 72 a7 b4 20 00 00 00 00 29 88 32 27 |....r.. ....).2'| +00000860 e4 24 00 00 00 00 11 4a b8 3d 57 1e 00 00 00 00 |.$.....J.=W.....| +00000870 23 96 5c 7d ca 2f 00 00 00 00 c7 41 f1 ff 8f 96 |#.\}./.....A....| +00000880 00 00 00 00 4d 27 25 a3 c4 64 00 00 00 00 dc 3a |....M'%..d.....:| +00000890 4f c8 31 e3 00 00 00 00 d6 2c 29 25 76 25 00 00 |O.1......,)%v%..| +000008a0 00 00 38 4c 47 43 dc ae 00 00 00 00 17 52 20 86 |..8LGC.......R .| +000008b0 00 73 00 00 00 00 94 2e 16 34 c0 06 00 00 00 00 |.s.......4......| +000008c0 c0 63 74 3a 4f 7d 00 00 00 00 64 e8 d1 40 39 52 |.ct:O}....d..@9R| +000008d0 00 00 00 00 14 41 52 1a ec 84 00 00 00 00 5e 8a |.....AR.......^.| +000008e0 41 01 5d 8f 00 00 00 00 8b 9b ed 00 61 62 00 00 |A.].........ab..| +000008f0 00 00 1a 7b 27 4c aa 39 00 00 00 00 73 11 73 46 |...{'L.9....s.sF| +00000900 a3 65 00 00 00 00 d3 ef 6f 00 c3 c2 00 00 00 00 |.e......o.......| +00000910 d4 3d e5 4d 89 00 00 00 00 00 56 85 da 2e 31 12 |.=.M......V...1.| +00000920 00 00 00 00 fc 4f a2 da 8a de 00 00 00 00 b2 61 |.....O.........a| +00000930 d7 34 f8 3d 00 00 00 00 06 8d 70 3b 9d 32 00 00 |.4.=......p;.2..| +00000940 00 00 fa 46 3e 44 db b0 00 00 00 00 e6 a1 02 4c |...F>D.........L| +00000950 e9 a5 00 00 00 00 77 e5 5a 49 8a e5 00 00 00 00 |......w.ZI......| +00000960 5a ed 9a bc ab bf 00 00 00 00 cc e1 85 b3 d5 11 |Z...............| +00000970 00 00 00 00 04 a4 92 18 12 f8 00 00 00 00 da 9e |................| +00000980 8a 6a 15 cf 00 00 00 00 9a bc b7 89 a0 d3 00 00 |.j..............| +00000990 00 00 35 cb d5 67 52 95 00 00 00 00 96 02 2f 02 |..5..gR......./.| +000009a0 e6 35 00 00 00 00 17 8b 12 97 32 44 00 00 00 00 |.5........2D....| +000009b0 98 a7 45 ad 58 67 00 00 00 00 8e bd 84 0c da 9c |..E.Xg..........| +000009c0 00 00 00 00 0c 49 ef 06 2a 9a 00 00 00 00 86 8b |.....I..*.......| +000009d0 cf 14 fa 1c 00 00 00 00 b4 53 8c ae ca 72 00 00 |.........S...r..| +000009e0 00 00 b2 b4 c8 7c 85 e3 00 00 00 00 2f 79 bc de |.....|....../y..| +000009f0 dd ef 00 00 00 00 d6 b5 53 5c 63 a5 00 00 00 00 |........S\c.....| +crc check (0000 == 06cf) diff --git a/rewrite-rom b/rewrite-rom index 3f2ae3c..1c2a835 100644 Binary files a/rewrite-rom and b/rewrite-rom differ diff --git a/rewrite-rom.c b/rewrite-rom.c index b23c748..7afa24a 100644 --- a/rewrite-rom.c +++ b/rewrite-rom.c @@ -182,8 +182,8 @@ int ice40_parse(struct irw_file *f) // try_4[i] = try_4[i + 1]; // try_4[i + 1] = t; // } - // printf("try 2:\n"); - // print_hex(try_2, sizeof(try_2), 0); + printf("try 1:\n"); + print_hex(try_1, sizeof(try_1), (!!bs.current_offset) * (bs.current_width * bs.current_height) / 8); // printf("try 3:\n"); // print_hex(try_3, sizeof(try_3), 0); // printf("try 4:\n"); @@ -371,7 +371,7 @@ uint32_t swap_u32(uint32_t word) { // 1. Read ROM file into `input` // 2. For each pair of bytes of input, -uint16_t mappings[65536]; +// uint16_t mappings[65536]; int ice40_patch(struct irw_file *f, struct irw_file *rom, struct irw_file *o) { uint32_t preamble = 0; @@ -384,10 +384,12 @@ int ice40_patch(struct irw_file *f, struct irw_file *rom, struct irw_file *o) uint8_t *i8 = (uint8_t *)input_rom; uint16_t *ora16 = (uint16_t *)output_rand; uint16_t *oro16 = (uint16_t *)output_rom; + unsigned int ora_ptr; + unsigned int ora_matches; int b; - struct mapping mapping; + // struct mapping mapping; - memset(&mapping, 0, sizeof(mapping)); + // memset(&mapping, 0, sizeof(mapping)); memset(&bs, 0, sizeof(bs)); int input_ptr; @@ -424,23 +426,23 @@ int ice40_patch(struct irw_file *f, struct irw_file *rom, struct irw_file *o) clear_bit(output_rom, input_ptr); } - // Finally, build a mapping from the random data to the replacement rom data. - uint32_t collisions = 0; - for (input_ptr = 0; input_ptr < 4096; input_ptr += 1) { - // uint32_t ra1 = (output_rand[input_ptr + 0] & 0xffff0000) - // | ((output_rand[input_ptr + 1] >> 16) & 0x0000ffff); - // uint32_t ra2 = (output_rand[input_ptr + 1] & 0xffff0000) - // | ((output_rand[input_ptr + 0] >> 16) & 0x0000ffff); - // add_mapping(&mapping, ra1, output_rom[input_ptr + 0]); - // add_mapping(&mapping, ra2, output_rom[input_ptr + 1]); - if (mappings[ora16[input_ptr]]) { - collisions++; - printf("mapping position %d not empty\n", input_ptr); - } - mappings[ora16[input_ptr]] = oro16[input_ptr]; - } - if (collisions) - printf("had %d collisions\n", collisions); + // // Finally, build a mapping from the random data to the replacement rom data. + // uint32_t collisions = 0; + // for (input_ptr = 0; input_ptr < 4096; input_ptr += 1) { + // // uint32_t ra1 = (output_rand[input_ptr + 0] & 0xffff0000) + // // | ((output_rand[input_ptr + 1] >> 16) & 0x0000ffff); + // // uint32_t ra2 = (output_rand[input_ptr + 1] & 0xffff0000) + // // | ((output_rand[input_ptr + 0] >> 16) & 0x0000ffff); + // // add_mapping(&mapping, ra1, output_rom[input_ptr + 0]); + // // add_mapping(&mapping, ra2, output_rom[input_ptr + 1]); + // if (mappings[ora16[input_ptr]]) { + // collisions++; + // printf("mapping position %d not empty\n", input_ptr); + // } + // mappings[ora16[input_ptr]] = oro16[input_ptr]; + // } + // if (collisions) + // printf("had %d collisions\n", collisions); while (1) { @@ -522,7 +524,7 @@ int ice40_patch(struct irw_file *f, struct irw_file *rom, struct irw_file *o) bs.bram_height = MAX(bs.bram_height, bs.current_height); for (i = 0; i < ((bs.current_width * bs.current_height) / 8); i += 2) { - uint32_t word = + uint16_t word = // ((irw_readb(f) << 24) & 0xff000000) // | // ((irw_readb(f) << 16) & 0x00ff0000) @@ -531,11 +533,36 @@ int ice40_patch(struct irw_file *f, struct irw_file *rom, struct irw_file *o) | ((irw_readb(f) << 0) & 0x000000ff) ; + int words_per_block = 0; + if (bs.current_width == 160) + words_per_block = 5; + else if (bs.current_width == 80) + words_per_block = 3; + else + printf("unrecognized width: %d\n", bs.current_width); + if (ora_matches >= words_per_block) { + ora_matches = 0; + ora_ptr = ora_ptr + 10; + } + int i; + int found = 0; + for (i = 0; i < 16; i++) { + if (ora16[i + ora_ptr] == word) { + found = 1; + printf("found %04x @ %d\n", word, i + ora_ptr); + word = oro16[i + ora_ptr]; + ora_matches++; + break; + } + } + if (!found) { + printf("couldn't find word %04x\n", word); + } // printf("%04x -> %04x\n", word, mapping[word]); // word = get_mapping(&mapping, word); // irw_writeb(o, word >> 24); // irw_writeb(o, word >> 16); - word = mappings[word]; + // word = mappings[word]; irw_writeb(o, word >> 8); irw_writeb(o, word); } @@ -569,6 +596,8 @@ int ice40_patch(struct irw_file *f, struct irw_file *rom, struct irw_file *o) // Set current bank case 1: bs.current_bank = payload; + ora_ptr = 0; + ora_matches = 0; // printf("setting bank number to %d\n", bs.current_bank); break; @@ -674,6 +703,8 @@ int main(int argc, char **argv) return 2; } + // struct irw_file *mem = irw_open("memtest/memtest.bin", "r"); + // ice40_parse(mem); ice40_patch(input, rom, output); return 0; } \ No newline at end of file diff --git a/xform b/xform index 425cdcc..b60363b 100644 Binary files a/xform and b/xform differ diff --git a/xform.c b/xform.c index 0d16474..b0f0624 100644 --- a/xform.c +++ b/xform.c @@ -129,8 +129,8 @@ int main(int argc, char **argv) // printf("test_4: bit %d set\n", i); // } - uint32_t input[512] = {}; - uint32_t output[512] = {}; + uint32_t input[2048] = {}; + uint32_t output[2048] = {}; for (i = 0; i < 32; i++) { printf("bit %d: %d\n", i, get_bit_offset(i, sizeof(input)*8));